虫虫首页|资源下载|资源专辑|精品软件
登录|注册

dut

  • MEMS传感器的静止带宽测试

    对于采用MEMS加速度计和陀螺仪的工业系统而言,优化带宽可能是关键考虑因素。这代表着精度(噪声)与响应时间之间的一种经典权衡。虽然多数MEMS传感器制造商都会给出典型带宽指标,往往还需要验证传感器或整个系统的实际带宽。在确定加速度计和陀螺仪的带宽特性时,一般需要使用振动台或其他机械激励源。要精确确定特性,需要全面了解应用于受测器件(dut)的运动。在此过程中需要管理多种潜在误差源。在机械带宽测定中,一个常见的误差源是谐振。导致机械谐振的原因有多种,包括激励源维护不当、dut与激励源耦合不良以及基准传感器放置等。这些误差的隔离十分耗时,可能给至关重要的项目进度带来风险。  

    标签: MEMS 传感器 带宽测试

    上传时间: 2013-11-01

    上传用户:王小奇

  • 多音频讯号的量测及分析系统--DAQ Card的应用

    量测/测试所面临之问题 此测试验证上,要使用NI公司之LAB VIEW及DAQ CARD来取代AUDIO PRECISION及其所附软体ATS。首先需克服硬体解析度上的差异,再来是FFT(FAST FOURIER TRANSFORM,快速传立业转换)演算未予的撰写,这将会影响MULTI-TONE讯号上PEAK值的侦测。另外,以RS-232为I/O介面,并呼叫客户所提供之DLL档来与dut内的IC沟通,但因LAB VIEW无法直接呼叫其STRUCTURE,故需用VC++再编译一层新的DLL来供LAB VIEW使用。

    标签: Card DAQ 音频讯号

    上传时间: 2013-12-13

    上传用户:longlong12345678

  • UART 4 UART参考设计,Xilinx提供VHDL代码

    UART 4 UART参考设计,Xilinx提供VHDL代码 uart_vhdl This zip file contains the following folders:  \vhdl_source  -- Source VHDL files:      uart.vhd  - top level file      txmit.vhd - transmit portion of uart      rcvr.vhd -  - receive portion of uart \vhdl_testfixture  -- VHDL Testbench files. This files only include the testbench behavior, they         do not instantiate the dut. This can easily be done in a top-level VHDL          file or a schematic. This folder contains the following files:      txmit_tb.vhd  -- Test bench for txmit.vhd.      rcvr_tf.vhd  -- Test bench for rcvr.vhd.

    标签: UART Xilinx VHDL 参考设计

    上传时间: 2013-11-07

    上传用户:jasson5678

  • UART 4 UART参考设计,Xilinx提供VHDL代码

    UART 4 UART参考设计,Xilinx提供VHDL代码 uart_vhdl This zip file contains the following folders:  \vhdl_source  -- Source VHDL files:      uart.vhd  - top level file      txmit.vhd - transmit portion of uart      rcvr.vhd -  - receive portion of uart \vhdl_testfixture  -- VHDL Testbench files. This files only include the testbench behavior, they         do not instantiate the dut. This can easily be done in a top-level VHDL          file or a schematic. This folder contains the following files:      txmit_tb.vhd  -- Test bench for txmit.vhd.      rcvr_tf.vhd  -- Test bench for rcvr.vhd.

    标签: UART Xilinx VHDL 参考设计

    上传时间: 2013-11-01

    上传用户:18862121743