虫虫首页|资源下载|资源专辑|精品软件
登录|注册

TS

  • 《ETSI TR 101 290》 TS流测试标准

    《ETSI TR 101 290》 TS流测试标准

    标签: ETSI 101 290 TR

    上传时间: 2013-12-28

    上传用户:er1219

  • 100 Do s and Don TS in Web Design

    100 Do s and Don TS in Web Design

    标签: Design 100 and Don

    上传时间: 2013-12-20

    上传用户:bibirnovis

  • P3.20. Consider an analog signal xa (t) = sin (2πt), 0 ≤t≤ 1. It is sampled at TS = 0.01, 0.05, and

    P3.20. Consider an analog signal xa (t) = sin (2πt), 0 ≤t≤ 1. It is sampled at TS = 0.01, 0.05, and 0.1 sec intervals to obtain x(n). b) Reconstruct the analog signal ya (t) from the samples x(n) using the sinc interpolation (use ∆ t = 0.001) and determine the frequency in ya (t) from your plot. (Ignore the end effecTS.) C) Reconstruct the analog signal ya (t) from the samples x (n) using the cubic spline interpolation and determine the frequency in ya (t) from your plot. (Ignore the end effecTS.)

    标签: Consider sampled analog signal

    上传时间: 2017-07-12

    上传用户:咔乐坞

  • 基于USB2_0接口的数字电视TS流接收器设计

    基于USB2_0接口的数字电视TS流接收器设计

    标签: USB TS 接口 数字电视

    上传时间: 2013-12-11

    上传用户:天诚24

  • TS-51开发板原理图_V2.0

    TS-51开发板原理图_V2.0,TS-51开发板原理图_V2.0,TS-51开发板原理图_V2.0

    标签: 51 开发板 原理图

    上传时间: 2016-09-14

    上传用户:maijinsheng

  • DVB系统信道编码的研究与FPGA实现.rar

    数字图像通信的最广泛的应用就是数字电视广播系统,与以往的模拟电视业务相比,数字电视在节省频谱资源、提高节目质量方面带来了一场新的革命,而与此对应的DVB(Digital Video Broadcasting)标准的建立更是加速了数字电视广播系统的大规模应用。DVB标准选定MPEG—2标准作为音频及视频的编码压缩方式,随后对MPEG—2码流进行打包形成TS流(transport stream),进行多个传输流复用,最后通过不同媒介进行传输。在DVB标准的传输系统中,无论是卫星传输,电缆传输还是地面传输,为了保障图像质量,使数字节目在传输过程中避免出现因受到各种信道噪声干扰而出现失真的现象,都采用了信道编码的方式来保护传输数据。信道编码是数字通信系统中一个必需的、重要的环节。 信道编码设计方案的优劣决定了DVB系统的成功与否,本文重点研究了DVB系统中的信道编码算法及其FPGA实现方案,主要进行了如下几项工作: 1)介绍了DVB系统信道编码的基本概念及特点,深入研究了DVB标准中信道编码部分的关键技术,并针对每个信道编码模块进行工作原理分析、算法分析。 2)根据DVB信道编码的特点,重点对信道编码中四个模块,包括扰码、RS编码、卷积交织编码和卷积编码的FPGA硬件实现算法进行了比较详细的分析,并阐述了每个模块及QPSK调制的设计方案及实现模块功能的程序流程。 3)在RS(204,188)编码过程中,利用有限域常数乘法器的特点,对编码器进行了优化,在很大程度上提高了编码效率,卷积交织器部分采用RAM移位法,实现起来更为简单且节省了FPGA器件内部资源。 4)设计以Altera公司的QuartusⅡ为开发平台,利用FPGA芯片EP1C6Q240C8完成了信道编码各模块及QPSK调制的硬件实现,通过Verilog HDL描述和时序仿真来验证算法的可行性,并给出系统设计中减少毛刺的方法,使系统更为稳定。最终的系统仿真结果表明该系统工作稳定,达到了DVB系统信道编码设计的要求。

    标签: FPGA DVB

    上传时间: 2013-06-25

    上传用户:allen-zhao123

  • 基于FPGA与AD9857的四路DVBC调制器的设计.rar

    随着数字时代的到来,信息化程度的不断提高,人们相互之间的信息和数据交换日益增加。正交幅度调制器(QAM Modulator)作为一种高频谱利用率的数字调制方式,在数字电视广播、固定宽带无线接入、卫星通信、数字微波传输等宽带通信领域得到了广泛应用。 近年来,集成电路和数字通信技术飞速发展,FPGA作为集成度高、使用方便、代码可移植性等优点的通用逻辑开发芯片,在电子设计行业深受欢迎,市场占有率不断攀升。本文研究基于FPGA与AD9857实现四路QAM调制的全过程。FPGA实现信源处理、信道编码输出四路基带I/Q信号,AD9857实现对四路I/Q信号的调制,输出中频信号。本文具体内容总结如下: 1.介绍国内数字电视发展状况、国内国际的数字电视标准,并详细介绍国内有线电视的系统组成及QAM调制器的发展过程。 2.研究了QAM调制原理,其中包括信源编码、TS流标准格式转换、信道编码的原理及AD9857的工作原理等。并着重研究了信道编码过程,包括能量扩散、RS编码、数据交织、星座映射与差分编码等。 3.深入研究了基于FPAG与AD9857电路设计,其中包括详细研究了FPGA与AD9857的电路设计、在allegro下的PCB设计及光绘文件的制作,并做成成品。 4.简单介绍了FPGA的开发流程。 5.深入研究了基于FPAG代码开发,其中主要包括I2C接口实现,ASI到SPI的转换,信道编码中的TS流包处理、能量扩散、RS编码、数据交织、星座映射与差分编码的实现及AD9857的FPGA控制使其实现四路QAM的调制。 6.介绍代码测试、电路测试及系统指标测试。 最终系统指标测试表明基于FPGA与AD9857的四路DVB-C调制器基本达到了国标的要求。

    标签: FPGA 9857 DVBC

    上传时间: 2013-04-24

    上传用户:sn2080395

  • 基于FPGA的MPEG2TS码流实时分析与检测系统.rar

    当前我国正处在从模拟电视系统向数字电视系统的转型期,数字电视用户数量激增,其趋势是在未来的几年内数字电视将迅速普及。在应用逐渐广泛的数字电视系统中,监控数字电视服务正成为一种越来越迫切的需要。然而,目前对于数字电视并没有合适的监测仪器,因此无法及时方便地诊断出现问题的信号以及隔离需要维修的数字化设备。通常只有当电视屏幕上的图像消失时我们才知道数字信号系统出了问题。几乎没有任何线索可以用来找到问题的所在或原因,码流分析仪器在这种情况下应运而生。目前在数字电视系统的前端,通过监控了解数字视频广播(DVB)信号和服务的状况从而采取措施比通过观众的反映而采取措施要主动和及时得多。传输流(TS)的测试设备可使技术人员分析码流的内部情况,它们在决定未来服务质量和客户满意度方面将扮演更重要的角色。 本文着重研究了在DVB广播电视系统中,DVB-ASI信号的解码、MPEG-2TS的实时检错原理和基于现场可编辑门阵列(FPGA)的实现方法。文章首先阐述了数字电视系统的一些基本概念,介绍了MPEG-2/DVB标准、ETR101 290标准、异步串行接口(ASI)。然后介绍了FPGA的基本概念与开发FPGA所使用的软件工具。最后根据DVB-ASI接收系统的解码规则与MPEG-2TS码流的结构提出了一套基于FPGA的MPEG-2TS码流实时分析与检测系统设计方案并予以了实现。 在本系统中,FPGA起着核心的作用,主要完成DVB-ASI的解码、MPEG-2TS码流检错、以及数字电视节目专有信息(PSI)提取等功能。本文实现的系统与传统的码流分析仪相比具有集成度较高、易扩展、便于携带、稳定性好、性价比高等优点。

    标签: MPEG2TS FPGA 码流

    上传时间: 2013-06-04

    上传用户:love1314

  • DVBT信道编解码算法研究及FPGA实现

    数字通信系统中,在实际信道上传输数字信号时,由于信道传输特性不理想及噪声的影响,接收端所收到的数字信号不可避免地会发生错误。为了减小误码率,提高接收质量,必须采用差错控制编码。对于数字视频通信系统这类高码率,高要求的系统,为了提供优良的图象质量,采用差错控制编码尤为重要。 本文采用的DVB-T系统差错控制技术是针对于数字视频通信而设计的,提出了纠错编码结合交织技术的实现方案,即RS(204,188,8)截短码、卷积交织、卷积码三种技术的级联。各技术中的参数设计为输入的MPEG-2传输流(TS流)提供了便利,在编码后可以保持传输流的帧结构和同步字节不改变,使接收端的同步捕获和同步跟踪成为可能。 本文首先简要介绍了差错控制技术,DVB-T系统,以及硬件实现所用到的FPGA实现方法。然后分别研究RS码、卷积交织、卷积码的编解码原理,并提出了三类技术的硬件实现方案。其中,重点论述了RS码解码的硬件实现。将RS码解码分为四个模块:伴随式计算,BM迭代,钱搜索和错误值计算,分别讲述每个模块的电路设计方案并给出仿真结果。最后,将该差错控制系统应用于一个输出速率恒定的实际数字视频通信系统中,按系统需要,加入了接口电路和速率控制的设计。

    标签: DVBT FPGA 信道 编解码

    上传时间: 2013-04-24

    上传用户:gcs333

  • MPEG2图像加密的FPGA实现

    本论文讨论的是如何对符合DVB-T标准的数字图像无线监控系统中的MPEG2图像实现底层硬件的实时加/解密.数字图像无线监控系统是某公司研发的符合DVB-T标准的实时图像语音无线传输系统,通过对实时采集的图像等信息的发射与接收实现对远程现场的无线监控.为了保证图像数据在传输中的保密性,设计了基于FPGA的实时MPEG2图像加/解密系统.该系统由加/解密算法模块和密钥管理模块组成.加/解密算法模块完成发射机及接收机中的实时数据流的加/解密,该模块是基于FPGA的,采用美国国家标准DES(Dara Encryption Standard)算法,实现了对MPEG2 TS流的硬件加/解密.密钥管理模块完成加/解密模块的密钥产生、管理、控制、输入等功能.本论文首先介绍了密码学的基本知识及几种典型的加密体制和算法.接着介绍了DVB-T数字广播标准和数字图像无线监控系统的原理和系统结构.然后对图像加解密器的系统设计原理及实现做了详细介绍.在此基础上,介绍了FPGA中的加密算法的仿真及实现和密钥管理模块的实现.最后介绍了系统的硬件电路和整个系统的软硬件调试.本人的工作主要包括:1.查阅资料,了解密码学及DVB系统相关领域知识.2.根据项目要求设计基于FPGA的实时MPEG2图像加/解密系统方案.3.基于FPGA完成MPEG2图像的底层硬件加密及解密逻辑程序设计,并设计各个控制程序和驱动.4.设计系统原理图及电路板,完成系统的软硬件调试和与全系统的联调.

    标签: MPEG2 FPGA 图像加密

    上传时间: 2013-06-30

    上传用户:jiiszha