虫虫首页|资源下载|资源专辑|精品软件
登录|注册

TS

  • 基于FPGA的TS流复用器及其接口的设计与实现.rar

    在数字电视系统中,MPEG-2编码复用器是系统传输的核心环节,所有的节目、数据以及各种增值服务都是通过复用打包成传输流传输出去。目前,只有少数公司掌握复用器的核心算法技术,能够采用MPEG-2可变码率统计复用方法提高带宽利用率,保证高质量图像传输。由于目前正处广播电视全面向数字化过渡期间,市场潜力巨大,因此对复用器的研究开发非常重要。本文针对复用器及其接口技术进行研究并设计出成形产品。 文中首先对MPEG-2标准及NIOS Ⅱ软核进行分析。重点研究了复用器中的部分关键技术:PSI信息提取及重构算法、PID映射方法、PCR校正及CRC校验算法,给出了实现方法,并通过了硬件验证。然后对复用器中主要用到的AsI接口和DS3接口进行了分析与研究,给出了设计方法,并通过了硬件验证。 本文的主要工作如下: ●首先对复用器整体功能进行详细分析,并划分软硬件各自需要完成的功能。给出复用器的整体方案以及ASI接口和DS3接口设计方案。 ●在FPGA上采用c语言实现了PSI信息提取与重构算法。 ●给出了实现快速的PID映射方法,并根据FPGA特点给出一种新的PID映射方法,减少了逻辑资源的使用,提高了稳定性。 ●采用Verilog设计了SI信息提取与重构的硬件平台,并用c语言实现了SDT表的提取与重构算法,在FPGA中成功实现了动态分配内存空间。 ●在FPGA上实现了.ASI接口,主要分析了位同步的实现过程,实现了一种新的快速实现字节同步的设计。 ●在FPGA上实现了DS3接口,提出并实现了一种兼容式DS3接口设计。并对帧同步设计进行改进。 ●完成部分PCB版图设计,并进行调试监测。 本复用器设计最大特点是将软件设计和硬件设计进行合理划分,硬件平台及接口采用Verilog语言实现,PSI信息算法主要采用c语言实现。这种软硬件的划分使系统设计更加灵活,且软件设计与硬件设计可同时进行,极大的提高了工作效率。 整个项目设计采用verilog和c两种语言完成,采用Altera公司的FPGA芯片EP1C20,在Quartus和NIOS IDE两种设计平台下设计实现。根据此方案已经开发出两台带有ASI和DS3接口的数字电视TS流复用器,经测试达到了预期的性能和技术指标。

    标签: FPGA TS 复用器

    上传时间: 2013-08-02

    上传用户:gdgzhym

  • 基于FPGA的MPEG-2预处理TS流复用设计及验证

      本文着重研究了多路数字节目复用器中的对多路预处理TS流复用的原理和基于FPGA的实现方法。首先论述了关于数字电视系统的一些基本概念,介绍了MPEG-2/DVB标准以及数字电视节目专用信息(PSI),并结合多路数字节目复用的基本原理提出了一套基于FPGA的设计方案。通过对复用器输入部分、复用控制逻辑和PCR校正等一系列模块的设计及仿真验证,达到了设计的要求,取得了一定的研究成果。

    标签: FPGA MPEG 预处理 TS

    上传时间: 2013-06-08

    上传用户:bugtamor

  • 厦华TS-2150彩电电路图

    厦华TS-2150彩色电视机电路图,厦华TS-2150彩电图纸,厦华TS-2150原理图。

    标签: 2150 TS 厦华 彩电电路图

    上传时间: 2013-06-28

    上传用户:xuan‘nian

  • TS流复用器及其接口

    在数字电视系统中,MPEG-2编码复用器是系统传输的核心环节,所有的节目、数据以及各种增值服务都是通过复用打包成传输流传输出去。目前,只有少数公司掌握复用器的核心算法技术,能够采用MPEG-2可变码率统计复用方法提高带宽利用率,保证高质量图像传输。由于目前正处广播电视全面向数字化过渡期间,市场潜力巨大,因此对复用器的研究开发非常重要。本文针对复用器及其接口技术进行研究并设计出成形产品。 文中首先对MPEG-2标准及NIOS Ⅱ软核进行分析。重点研究了复用器中的部分关键技术:PSI信息提取及重构算法、PID映射方法、PCR校正及CRC校验算法,给出了实现方法,并通过了硬件验证。然后对复用器中主要用到的AsI接口和DS3接口进行了分析与研究,给出了设计方法,并通过了硬件验证。 本文的主要工作如下: ●首先对复用器整体功能进行详细分析,并划分软硬件各自需要完成的功能。给出复用器的整体方案以及ASI接口和DS3接口设计方案。 ●在FPGA上采用c语言实现了PSI信息提取与重构算法。 ●给出了实现快速的PID映射方法,并根据FPGA特点给出一种新的PID映射方法,减少了逻辑资源的使用,提高了稳定性。 ●采用Verilog设计了SI信息提取与重构的硬件平台,并用c语言实现了SDT表的提取与重构算法,在FPGA中成功实现了动态分配内存空间。 ●在FPGA上实现了.ASI接口,主要分析了位同步的实现过程,实现了一种新的快速实现字节同步的设计。 ●在FPGA上实现了DS3接口,提出并实现了一种兼容式DS3接口设计。并对帧同步设计进行改进。 ●完成部分PCB版图设计,并进行调试监测。 本复用器设计最大特点是将软件设计和硬件设计进行合理划分,硬件平台及接口采用Verilog语言实现,PSI信息算法主要采用c语言实现。这种软硬件的划分使系统设计更加灵活,且软件设计与硬件设计可同时进行,极大的提高了工作效率。 整个项目设计采用verilog和c两种语言完成,采用Altera公司的FPGA芯片EP1C20,在Quartus和NIOS IDE两种设计平台下设计实现。根据此方案已经开发出两台带有ASI和DS3接口的数字电视TS流复用器,经测试达到了预期的性能和技术指标。

    标签: TS 复用器 接口

    上传时间: 2013-06-10

    上传用户:01010101

  • DVB的TS流解析

    DVB的TS流解析。代码做得比较简单,只是从TS文件中解析出节目的最基本信息,但是对TS流的解法是从最基本开始的,适合初学者。完全原创。-

    标签: DVB TS

    上传时间: 2013-04-24

    上传用户:ajaxmoon

  • ARM单单片机应用程序,将TS流转换为PSI的源程序,了解TS流的结构

    ARM单单片机应用程序,将TS流转换为PSI的源程序,了解TS流的结构

    标签: ARM PSI TS 单片机

    上传时间: 2013-12-12

    上传用户:zjf3110

  • 实现基于ip2022的MPEG2 TS的IP组播接收

    实现基于ip2022的MPEG2 TS的IP组播接收

    标签: MPEG2 2022 ip IP组播

    上传时间: 2013-12-31

    上传用户:爺的气质

  • 在公司做的一个用FPGA实现的数字电视系统中 ASI转TS流的程序

    在公司做的一个用FPGA实现的数字电视系统中 ASI转TS流的程序

    标签: FPGA ASI 数字电视 TS

    上传时间: 2015-05-14

    上传用户:xhz1993

  • 在ADI的TS系列DSP上编写的32位定点FIR滤波器的程序

    在ADI的TS系列DSP上编写的32位定点FIR滤波器的程序

    标签: ADI DSP FIR 编写

    上传时间: 2014-01-17

    上传用户:refent

  • DVBstream is based on the TS-rtp package available at http://www.linuxtv.org. It broadcasTS a (subs

    DVBstream is based on the TS-rtp package available at http://www.linuxtv.org. It broadcasTS a (subset of a) DVB transport stream over a LAN using the rtp protocol. There were a couple of small bugs in the original TS-rtp application, which I have fixed here.

    标签: broadcasTS DVBstream available linuxtv

    上传时间: 2013-11-30

    上传用户:sy_jiadeyi