虫虫首页|资源下载|资源专辑|精品软件
登录|注册

Signaltap

  • Rainbow Blaster 使用手册v1.0

    一、Rainbow Blaster 的特性Rainbow Blaster全面兼容Altera的USB Blaster下载电缆,通过计算机的USB接口可对Altera的FPGA/CPLD以及配置芯片进行编程、调试等操作。支持的Altera FPGA/CPLD器件如下:l Stratix II、Stratix GX及Stratix系列l Cyclone II及Cyclone系列l APEX II 及APEX 20K系列l ACEX 1Kl Mercuryl FLEX 10K、FLEX 10KE和FLEX 10KA全系列l Excaliburl MAX 3000、MAX 7000和MAX II全系列支持的配置芯片如下:l EPC2, EPC4, EPC8, EPC16, EPC1441l EPCS1, EPCS4, EPCS16,EPCS64支持的目标板IO电压:l 1.5V、1.8V、2.5V、3.3V、5V二、Rainbow Blaster工作需求1.软件需求:l Windows 2000 和XP 操作系统。l 需要安装QuartusII4.0 及以上版本。l Quartus II Programmer (编程或配置操作需要)l Quartus II Signaltap II Logic Analyzer (逻辑分析操作需要)2. 电源需求:l 从USB 电缆的PC 端提供直流5.0V;l 从目标板下载接口提供直流1.5V 至5.0V。三、硬件连接请按如下步骤顺序操作:1. 关掉目标板电源。2. 将USB 电缆一端(大口)接到PC 或笔记本电脑上的USB 接口,另一端(小口)接到Rainbow Blaster。3. 将Rainbow Blaster 的10PIN Female(母头)接头按照方向指示连接到目标

    标签: Rainbow Blaster 1.0 使用手册

    上传时间: 2013-10-15

    上传用户:yd19890720

  • 基于DSP Builder数字信号处理器的FPGA设计

    针对使用硬件描述语言进行设计存在的问题,提出一种基于FPGA并采用DSP Builder作为设计工具的数字信号处理器设计方法。并按照Matlab/Simulink/DSP Builder/QuartusⅡ设计流程,设计了一个12阶FIR 低通数字滤波器,通过Quartus 时序仿真及嵌入式逻辑分析仪SignaltapⅡ硬件测试对设计进行了验证。结果表明,所设计的FIR 滤波器功能正确,性能良好。 Abstract:  Aiming at the problems in designing DSP using HDL,a method of designing DSP based on FPGA which using DSP Builder as designed tool is pointed out.A 12-order low-pass FIR digital filter was designed according to the process of Matlab/Simulink/DSP Builder/QuartusⅡ, and the design was verified by the timing simulation based on QuartusⅡand practical test based on SignaltapⅡ. The result shows the designed filter is correct in function and good in performance.

    标签: Builder FPGA DSP 数字信号处理器

    上传时间: 2013-11-16

    上传用户:lo25643

  • QuartusⅡ软件的安装方法和工程的基本设计流程; 通过简单的实例演示各流程以及常用工具的使用方法

    QuartusⅡ软件的安装方法和工程的基本设计流程; 通过简单的实例演示各流程以及常用工具的使用方法,熟悉QuartusⅡ软件的用户界面、常用工具和设计流程; 宏功能模块的配置和SignaltapⅡ逻辑分析仪的使用方法。

    标签: Quartus 软件 安装方法 工程

    上传时间: 2017-08-16

    上传用户:dragonhaixm

  • 高级FPGA教学实验指导书-逻辑设计

    第一章、ALTERA QUATUSII 5.0 使用介绍...................................... 3 1. 概述.................................................................. 3 2. QUATUSII 设计过程..................................................... 5 2.1. 建立工程.......................................................... 5 2.2. 建立设计.......................................................... 6 2.2.1 使用QUATUSII BLOCK EDITOR 建立原理图文件.............................. 7 2.2、2 使用 QUARTUS II TEXT EDITOR .......................................... 8 2.2.3 使用 VERILOG HDL、VHDL 与 AHDL ...................................... 9 3. 编译综合设计.......................................................... 9 4. 仿真工程............................................................. 11 5. 分配设备与管脚....................................................... 12 6. 程序下载............................................................. 15 7. 调试与软件逻辑分析仪的使用........................................... 16 7.1. 设置和运行 Signaltap II 逻辑分析器................................. 17 7.2. 设置触发器: ..................................................... 18 第二章 FPGA 试验平台介绍................................................. 19 1 简介................................................................... 19 2 主要的器件和特性....................................................... 19 3 LED,拨码开关和按键................................................... 21 3.1 十二个发光二极管(LED)七段数码显示器.............................. 21 3.2 四位拨码开关和两个功能按键......................................... 24 4 RS-232 串口............................................................ 24 5 PS/2 鼠标、键盘接口.................................................... 26 6 VGA 接口.............................................................. 26 7 USB1.1 接口........................................................... 26 8 LCD 接口.............................................................. 27 9 高速,异步SRAM ....................................................... 27 10 高速,同步SDRAM ...................................................... 33 11 大容量,快速FLASH .................................................... 35 12 USB2.0 芯片接口....................................................... 38 13 编程和调试接口....................................................... 39 14 时钟源............................................................... 39 15 电源方案............................................................. 41 16 复位电路............................................................. 42 17 扩展板接口........................................................... 42 第三章 数字电路与数字系统试验........................................... 45 第一部分 基础试验....................................................... 45 实验一 3/8 译码器....................................................... 45 实验二 分频器........................................................... 47 实验三 BCD 七段显示译码器实验............................................ 47 实验四 模拟74LS160 计数器实验........................................... 50 实验五 交通灯控制器..................................................... 51 实验六 乒乓球游戏机..................................................... 52 试验七 扫描数码显示器................................................... 54 试验八 频率计........................................................... 56 第二部分 接口控制器试验................................................. 58 试验九 RS-232 串口控制器................................................. 58 试验十 LCD 显示试验...................................................... 60 试验十一 VGA 控制输出试验............................................... 64 试验十二 PS/2 键盘控制器试验............................................ 66 试验十三 接口互连试验................................................... 69

    标签: FPGA

    上传时间: 2015-10-08

    上传用户:shzweh1234

  • QUARTUSII_5_0.RAR

    Quartus II 软件5.0在高密度FPGA设计上具有性能和效率领先优势。此版本首次展示了业内编译增强技术以及多种新的高密度设计高效特性。 Quartus II软件5.0的新特性和增强功能包括: 编译和时序逼近的增强特性 编译增强特性缩短近70%编译时间 编译增强特性使设计人员能够根据综合和适配的需要,将设计划分为物理和逻辑分区,在特定设计分区上实施物理综合等高级优化技术,保持其他模块性能不变,从而提高时序逼近效率。Signaltap? II 嵌入式逻辑分析仪也可以采用该技术加速实现验证迭代。 时

    标签: MATLAB GUI 图形 界面编程

    上传时间: 2013-05-15

    上传用户:eeworm