虫虫首页|资源下载|资源专辑|精品软件
登录|注册

STBC-OFDM

  • 基于IEEE80211a的OFDM基带传输系统的研究及其部分模块的FPGA实现

    IEEE802旗下的无线网络协议引领了无线网络领域的新革命,其不断提升的速度优势满足了人们对于高速无线接入的迫切要求,在这其中,OFDM技术所起的作用不可小觑。随着FPGA、信号处理和通信技术的发展,OFDM的应用得到了长足的进步。在此情况下,以OFDM技术为核心实现数据传输的原型机系统显得应情应景而且必要。 本课题在深入理解OFDM技术的同时,结合相应的EDA工具对系统进行建模并基于IEEE802.11a物理层标准给出了一种OFDM基带传输的系统实现方案。整个设计采用目前主流的自顶向下的设计方法,由总体设计至详细设计逐步细化。 在系统功能模块的FPGA实现过程中,针对XilinxVirtex-Ⅱ芯片对各个模块进行了详细设计,通过采用双端口RAM、流水、乒乓结构等处理实现高速的同步的信道编码的功能模块;通过比较符号定时的不同算法,给出了基于MultiplierlessCorrelator的实现结构并给出了仿真波形图,验证了采用该算法后符号定时模块的资源耗费大大降低而功能却依然和基于乘法器的符号定时模块相当;通过对Viterbi算法进行简化,给出了(2,1,6)卷积码的4比特软判决Viterbi解码器的设计和实现。最后根据系统所选芯片XC2V3000给出了具有较高配置灵活性的基于SystemACE配置方案的FPGA的硬件原理图设计和PCB设计。 本文首先以无线局域网和IEEE802无线网络家族引出OFDM技术发展、研究价值及OFDM的优缺点,接下来从OFDM原理入手,简要说明了OFDM的基本要素以及目前的研究热点,之后在介绍完IEEE802.11a物理层标准的同时给出了本原型机系统的总体设计方案,并从硬件语言设计和FPGA硬件原理设计两方面给出了该系统的详细设计。 随着OFDM技术的普及以及未来通信技术对OFDM的青睐,相信本论文的工作对OFDM基带传输系统的原型设计和实现具有一定的参考价值。

    标签: 80211a 80211 IEEE FPGA

    上传时间: 2013-07-13

    上传用户:远远ssad

  • 突发OFDM系统接收机同步算法设计及其FPGA实现

    目前,以互联网业务为代表的网络应用,正快速地向包括数据、语音、图像的综合宽带多媒体方向发展,构建宽带化、大容量、全业务、智能化的现代通信网络已成为大势所趋.宽带无线接入(BWA)凭借其组网快速灵活、运营维护方便及成本较低等竞争优势,迅速成为市场热点,各种微波、无线通信领域的先进手段和方法不断引入,各种宽带无线接入技术迅速涌现.由于BWA要用于非视距传输,所以必须考虑无线信道的多经效应.而OFDM技术凭借着鲁棒的对抗频率选择性衰落能力和极高频谱效率引起了学术界和工业界的高度重视.其基本思想是把调制在单载波上的高速串行数据流,分成多路低速的数据流,调制到多个正交载波上并行传输,这样在传输时,虽然整个信道是频率选择性衰落,但是各个子信道却是平坦衰落,有效对抗了多经效应,同时由于各个子载波是正交的,极大提高了频谱效率.可以预料的是,随着通信系统将向基于IPv6核心网的全IP包的传输方向发展,越来越多的通信系统将具有"突发模式"的特征.本文关注的正是突发OFDM系统接收机设计和实现.由于IEEE 802.11a无线局域网是OFDM技术第一次真正的应用于突发系统,实现了面向IP的无线宽带传输,所以基于IEEE 802.11a的突发OFDM系统有着重要的借鉴和研究价值,本文也正是围绕着这个中心而展开.本文的各章节安排如下:在第一章中主要介绍OFDM的技术原理和在宽带无线接入中的应用,同时引出本文所关注的突发OFDM接收机设计.在第二章中先介绍了相干接收和信道估计的概念,重点分析了本文所采用的WLAN信道模型和信道估计算法,然后在得到同步误差表达式的基础上,先用星座图直观的表现OFDM系统中各种同步误差的影响,再从信噪比损失的角度对符种同步误差进行分析.第三章是本文的重点之一,在本章中对基于IEEE 802.11a的各种同步算法包括帧检测和符号定时、载波同步和采样时钟同步进行仿真和比较,并针对适合FPGA实现的同步算法进行了重点的分析.第四章也是本文的重点之一,提出了整个OFDM系统平台的硬件结构和基于IEEE 802.11a的接收机FPGA设计方案,然后从整体上介绍了接收机的实现结构,并给出了接收机各个模块的具体设计,最后对整个系统调试过程和测试结果进行了分析.

    标签: OFDM FPGA 接收机

    上传时间: 2013-04-24

    上传用户:zhoujunzhen

  • OFDM系统帧检测及同步算法FPGA设计与实现

    正交频分复用(OFDM)技术是一种多载波数字调制技术,它具有频谱利用率高、抗多径能力强等特点,在宽带无线多媒体通信领域中受到了广泛的关注。 OFDM系统可分为连续工作模式和突发工作模式。在IEEE802.11a、HiperLANType2等无线局域网标准中采用了OFDM的突发工作模式,该模式下的接收机首先对符合某种特定格式的帧做出检测。本文介绍了一种基于最小错误概率准则的帧检测算法,提出了该算法的FPGA实现方案。 同步技术是OFDM最关键的技术之一,它包括载波频率同步和符号同步。载波频率同步是为了纠正接收端相对于发送端的载波频率偏移,以保证子载波间的正交性;符号同步确定OFDM符号有用数据信息的开始时刻,也就是确定FFT窗的开始时刻。本文首先介绍了一种基于自相关的载波频率同步算法,给出了它的FPGA实现方案,重点讲述了其中用到的Cordic算法及其实现;然后介绍了分别基于互相关和自相关的两种符号同步算法,给出了各自的FPGA实现方案,从实现的角度比较了两种算法的优缺点,并且在FPGA设计中体现了面积复用和流水线操作的设计思想。 文章最后介绍了系统调试的情况,总结出一种ChipScopePro与Matlab相结合的调试方法,该方法在FPGA调试方面具有一定的通用性。

    标签: OFDM FPGA

    上传时间: 2013-07-15

    上传用户:Killerboo

  • 基于FPGA的OFDM调制解调器的设计与实现

    正交频分复用(OFDM)技术是一种多载波数字调制技术,具有频谱利用率高、抗多径干扰能力强、成本低等特点,适合无线通信的高速化、宽带化及移动化的需求,将成为下一代无线通信系统(4G)的核心调制传输技术。 本文首先描述了OFDM技术的基本原理。对OFDM的调制解调以及其中涉及的特性和关键技术等做了理论上的分析,指出了OFDM区别于其他调制技术的巨大优势;然后针对OFDM中的信道估计技术,深入分析了基于FFT级联的信道估计理论和基于联合最大似然函数的半盲分组估计理论,在此基础上详细研究描述了用于OFDM系统的迭代的最大似然估计算法,并利用Matlab做了相应的仿真比较,验证了它们的有效性。 而后,在Matlab中应用Simulink工具构建OFDM系统仿真平台。在此平台上,对OFDM系统在多径衰落、高斯白噪声等多种不同的模型参数下进行了仿真,并给出了数据曲线,通过分析结果可正确评价OFDM系统在多个方面的性能。 在综合了OFDM的系统架构和仿真分析之后,设计并实现了基于FPGA的OFDM调制解调系统。首先根据802.16协议和OFDM系统的具体要求,设定了合理的参数;然后从调制器和解调器的具体组成模块入手,对串/并转换,QPSK映射,过采样处理,插入导频,添加循环前缀,IFFT/FFT,帧同步检测等各个模块进行硬件设计,详细介绍了各个模块的设计和实现过程,并给出了相应的仿真波形和参数说明。其中,针对定点运算的局限性,为系统设计并自定义了24位的浮点运算格式,参与傅立叶反变换和傅立叶变换的运算,在系统参数允许的范围内,充分利用了有限资源,提高了系统运算精度;然后重点描述了基于FPGA的快速傅立叶变换算法的改进、优化和设计实现,针对原始快速傅立叶变换FPGA实现算法运算空闲时间过多,资源占用较大的问题,提出了带有流水作业功能、资源占用较少的快速傅立叶变换优化算法设计方案,使之运用于OFDM基带处理系统当中并加以实现,结果满足系统参数的需求。最后以理论分析为依据,对整个OFDM的基带处理系统进行了系统调试与性能分析,证明了设计的可行性。 综上所述,本文完成了一个基于FPGA的OFDM基带处理系统的设计、仿真和实现。本设计为OFDM通信系统的进一步改进提供了大量有用的数据。

    标签: FPGA OFDM 调制解调器

    上传时间: 2013-04-24

    上传用户:vaidya1bond007b1

  • 基于FPGA实现OFDM基带调制系统

    本文对OFDM基带调制解调系统的:FPGA设计进行了研究和论述,重点实现其中的RS码编、译码模块和基带成形滤波器模块。本文首先介绍了OFDM调制的原理和OFDM基带调制解调系统的总体设计,以及FPGA设计的基本原则。接着介绍了RS码的编码原理和时域迭代译码算法,在此基础上设计实现RS码编码器和译码器。然后介绍了成形滤波的原理和多种实现成形滤波器的结构,采用多相结构设计实现了平方根升余弦滚降滤波器。

    标签: FPGA OFDM 基带 调制系统

    上传时间: 2013-06-10

    上传用户:TF2015

  • OFDM系统的定时和频率同步的实现

    正交频分复用技术(OFDM)是未来宽带无线通信中的关键技术。随着用户对实时多媒体业务,高速移动业务需求的迅速增加,OFDM由于其频谱效率高,抗多径效应能力强,抗干扰性能好等特点,该技术正得到了广泛的应用。 OFDM系统的子载波之间必须保持严格的正交性,因此对符号定时和载波频偏非常敏感。本课题的主要任务是分析各种算法的性能的优劣,选取合适的算法进行FPGA的实现。 本文首先简要介绍了无线信道的传输特性和OFDM系统的基本原理,进而对符号同步和载波同步对接收信号的影响做了分析。然后对比了非数据辅助式同步算法和数据辅助式同步算法的不同特点,决定采用数据辅助式同步算法来解决基于IEEE 802.16-2004协议的突发传输系统的同步问题。最后部分进行了算法的实现和仿真,所有实现的仿真均在QuartusⅡ下按照IEEE 802.16-2004协议的符号和前导字的结构进行。 本文的主要工作:(1)采用自相关和互相关联合检测算法同时完成帧到达检测和符号同步估计,只用接收数据的符号位做相关运算,有效地解决了判决门限需要变化的问题,同时也减少了资源的消耗;(2)在时域分数倍频偏估计时,利用基于流水线结构的Cordic模块计算长前导字共轭相乘后的相角,求出分数倍频偏的估计值;(3)采用滑动窗口相关求和的方法估计整数倍频偏值,在此只用频域数据的符号位做相关运算,有效地解决了传统算法估计速度慢的缺点,同时也减少了资源的消耗。

    标签: OFDM 定时 同步的

    上传时间: 2013-05-23

    上传用户:宋桃子

  • 数字音频广播中OFDM调制的研究与实现

    正交频分复用(OFDM)是一种无线环境下的高速传输技术,它使用一系列低速子载波并行传输数据,具有抗多径干扰的能力、能以很高的频谱利用率实现高速数据传输等优点。数字音频广播(DAB)系统中采用OFDM调制技术。 本文首先概述了OF'DM的基本原理和实现方法,分析了DAB中不同模式下OFDM调制的参数和特点。实现OFDM的核心技术是快速傅立叶变换(FFT)。本文在分析研究了多种FFT算法的基础上选择了最适合FPGA实现的,满足DAB系统中OFDM调制要求的FFT算法,即将2048点FFT分解为基-4和基-2混合基算法。 本文研究重点是使用FPGA实现2048点复数FFT处理器。2048点FFT由五级基-4运算和一级基-2运算组成。针对这一算法以及FPGA特点,进行系统结构设计、各个模块设计、FPGA实现和测试。一个基-4和基-2复用的蝶形运算模块是整个FFT处理器的核心部分。此外系统还包括:系统控制模块,地址产生模块,RAM和ROM。本文特别针对2048点按频率抽取基-4/2顺序处理的FFT处理器提出了一种巧妙的数据地址和旋转因子地址生成的方法。 仿真和验证表明,运算的结果可以达到一定的精度要求,运算速度满足系统要求,说明该OFDM调制器的设计是可行的,可以应用于DAB系统中

    标签: OFDM 数字音频广播 调制

    上传时间: 2013-06-04

    上传用户:star_in_rain

  • 基于FPGA全数字OFDM收发信机

    正交频分复用(OFDM,Orthogonal Frequency Division Multiplexing)技术作为一种可以有效对抗信号波形间干扰的高速传输技术,引起了广泛关注。它利用许多并行的、传输低速率数据的子载波来实现高速率的通信。它的特点是各子载波相互正交,所以扩频调制后的频谱可以相互重叠,不但减小了子载波问的相互干扰,还大大提高了频谱利用率。由于OFDM的高频谱利用率、易于硬件实现、对抗频率选择性衰落和窄带干扰的能力突出等优点,它成为第四代移动通信的首选技术,是当前移动通信技术研究的热点问题。 本文概括的介绍了OFDM系统的基本概念、基本工作原理和关键技术,重点讨论了如何在FPGA上实现OFDM低中频收发信机。基于这些理论知识,确定了OFDM低中频收发信机系统实现方案,并选择ALTERA公司的Cyclone

    标签: FPGA OFDM 全数字 收发信机

    上传时间: 2013-06-28

    上传用户:水瓶kmoon5

  • OFDM发射机系统的FPGA设计

    无线局域网是计算机网络技术和无线通信技术相结合的产物,是利用无线媒介传输信息的计算机网络。在无线通信信道中,由于多径时延不可避免地存在符号间干扰,正交频分复用(OFDM)作为一种可以有效对抗符号间干扰(ISI)和提高频谱利用率的高速传输技术,引起了广泛关注。在无线局域网(WLAN)系统中,OFDM调制技术已经被采用作为其物理层标准,并且公认为是下一代无线通信系统中的核心技术。基于IEEE802.11a的无线局域网标准的物理层采用了OFDM技术,能有效的对抗多径信道衰落,达到54Mbps的速度,而未来而的IEEE802.11n将达到100Mbps的高速。因此,研发以OFDM为核心的原型机研究非常有必要。 本文在深入理解OFDM技术的同时,结合相应的EDA工具对系统进行建模并基于IEEE802.11a物理层标准给出了一种OFDM基带发射机系统的FPGA实现方案。整个设计采用目前主流的自顶向下的设计方法,由总体设计至详细设计逐步细化。在系统功能模块的FPGA实现过程中,针对Xilinx一款160万门的Spartan-3E XCS1600E芯片,依照:IEEE802.11a帧格式,对发射机系统各个模块进行了详细设计和仿真: (1)训练序列生成模块,包括长,短训练序列; (2)信令模块,包括卷积编码,交织,BPSK调制映射; (3)数据模块,包括加扰,卷积编码,删余,交织,BPSK/QPSK/16QAM/64QAM调制映射; (4)OFDM处理部分,包括导频插入,加循环前缀,IFFT处理; (5)对整个发射处理部分联调,并给出仿真结果另外,还完成了接收机部分模块的FPGA设计,并给出了相应的顶层结构与仿真波形。最后提出了改进和进一步开发的方向。

    标签: OFDM FPGA 发射机

    上传时间: 2013-04-24

    上传用户:李彦东

  • 基于可重配置的OFDM基带系统的FPGA设计

    1992年5月,JoeMitola首次明确提出了软件无线电的概念。软件无线电将模块化、标准化的硬件单元连接构成硬件平台,通过软件加载实现各种无线通信功能。端到端重配置技术是在软件无线电的基础上发展起来的,该技术使通信系统不仅具有重配置的能力,还能提供一体化的重配置管理架构,实现联合无线资源管理和网络规划。端到端重配置技术已经成为软件无线电的发展趋势。 宽带无线接入(BWA,BroadbandWirelessAccess)是当前通信界研究的热点之一,而WiMax和WiFi是BWA中最热门的两个技术,所以本文选择了IEEE802.16-2004与IEEE802.11a,设计了基于其物理层标准的可重配置OFDM基带系统。它们均采用正交频分复用技术(OFDM,OrthogonalFrequencyDivisionMultiplexing)。 本文研究了IEEE802.16-2004与IEEE802.11a物理层标准,结合Altera公司提供的FPGA开发工具QuartusⅡ、Mentor公司仿真工具ModelsimSE6.0,完成了基于IEEE802.16-2004及IEEE802.11a的可重配置OFDM基带系统的FPGA设计。该设计中,对FPGA进行重新配置,实现了802.16-2004与802.11a两种技术的完全重配置;通过选择不同的参数来调用不同子模块,实现802.16-2004与802.11a内部不同调制技术的局部重配置。该可重配置基带系统核心的FFT/IFFT。模块采用基4按频率抽取及Cordic算法,消除乘法运算,有利于FPGA实现;在802.16-2004系统中,选取了基于前导序列的符号同步算法,在FPGA中实现。最后使用开发软件、综合软件以及仿真软件分析了系统的性能并给出了系统的性能指标。

    标签: OFDM FPGA 可重配置 基带系统

    上传时间: 2013-05-19

    上传用户:branblackson