虫虫首页|资源下载|资源专辑|精品软件
登录|注册

STBC-OFDM

  • 包括:1、关于STBC技术在频率选择性信道下的性能分析程序 2、对STBC性能的改进系统:STBC-OFDM系统的性能分析程序

    包括:1、关于STBC技术在频率选择性信道下的性能分析程序 2、对STBC性能的改进系统:STBC-OFDM系统的性能分析程序

    标签: STBC STBC-OFDM 性能分析 程序

    上传时间: 2016-09-21

    上传用户:cuiyashuo

  • computing the BER for QPSK in STBC-OFDM

    computing the BER for QPSK in STBC-OFDM

    标签: computing STBC-OFDM QPSK BER

    上传时间: 2017-03-20

    上传用户:rocketrevenge

  • STBC-OFDM中Alamouti的仿真实现,包括信道编译码和二进制信源

    STBC-OFDM中Alamouti的仿真实现,包括信道编译码和二进制信源

    标签: STBC-OFDM Alamouti 仿真实现 二进制

    上传时间: 2017-06-02

    上传用户:lyy1234

  • stbc_ofdm(time)

    从时域分析比较了ofdm和stbc与ofdm结合的性能差别-from time-domain analysis and comparison of OFDM stbc OFDM combined wi

    标签: stbc_ofdm time

    上传时间: 2013-06-05

    上传用户:caozhizhi

  • STBC MIMO OFDM 降低PAPR的算法

    STBC MIMO OFDM 降低PAPR的算法

    标签: STBC MIMO OFDM PAPR

    上传时间: 2016-10-30

    上传用户:zgu489

  • 基于FPGA的OFDM基带系统研究.rar

    近几年来,OFDM(Orthogonal Frequency Division Multiplexing)技术引起了人们的广泛注意,根据这项新技术,很多相关协议被提出来。其中WiMax(Wireless MetropolitanArea Networks)代表空中接口满足IEEE 802.16标准的宽带无线通信系统,IEEE标准在2004年定义了空中接口的物理层(PHY),即802.16d协议。该协议规定数据传输采用突发模式,调制方式采用OFDM技术,传输速率较高且实现方便、成本低廉,已经成为首先推广应用的商业化标准。 本文主要对IEEE802.16d OFDM系统物理层进行研究,并在XILINX公司的Virtexpro II芯片上实现了基带算法。 首先讨论了OFDM基本原理及其关键技术。根据IEEE802.16d OFDM系统的物理层发送端流程搭建了基带仿真链路,利用MATLAB/SIMULINK仿真了OFDM系统在有无循环前缀(CP)、多径数目不同等情况下的性能变化。由于同步算法和信道估计算法计算量都很大,为了找到适合采用FPGA实现的算法,分析了同步误差和不同信道估计算法对接收信号的影响,并结合计算量的大小提出了一种新的联合同步算法,以及得出了LS信道估计算法最适合802.16d系统的结论。 其次,完成了基带发射机和接收机的FPGA硬件电路实现。为了使系统的时钟频率更高,采用了流水线的结构。设计中采用编写Verilog程序和使用IP核相结合的办法,实现了新的联合同步算法,并且通过简化结构,避免了信道估计算法中的繁琐除法。利用ISE9. 2i和Modelsim6.Oc软件平台对程序进行设计、综合和仿真,并将仿真结果和MATLAB软件计算结果相对比。结果表明,采用16位数据总线可达到理想的精度。 最后,采用串口通信的方式对基带系统进行了验证。通过串口通信从功能上表明该系统确实可行。 关键词:IEEE802. 16d; OFDM; 同步;信道估计;基带系统

    标签: FPGA OFDM 基带

    上传时间: 2013-07-31

    上传用户:1757122702

  • OFDM系统同步及解调的FPGA实现.rar

    自20世纪80年代以来,正交频分复用技术不但在广播式数字音频和视频领域得到广泛的应用,而且已经成为无线局域网标准(例如IEEE802.11a和HiperLAN/2等)的一部分。OFDM由于其频谱利用率高,成本低等原因越来越受到人们的关注。随着人们对通信数据化、宽带化、个人化和移动化需求的增强,OFDM技术在综合无线接入领域将会获得越来越广泛的应用。人们开始集中越来越多的精力开发OFDM技术在移动通信领域的应用,本文也是基于无线通信平台上的OFDM技术的运用。 本文的所有内容都是建立在空地数据无线通信系统下行链路FPGA实现基础上的。本文作者的主要工作集中在链路接收端的FPGA实现和调试上。主要包括帧同步(时间同步)算法的研究与设计、OFDM频率同步算法的研究与设计以及同步模块、OFDM解调模块、QAM解调模块的FPGA实现。最终实现高速数字图像传输系统下行链路在无线环境中连通。 对于无线移动通信系统而言,多普勒频移、收发设备的本地载频偏差均可能破坏OFDM系统子载波之间的正交性,从而导致ICI,影响系统性能。另外,由于OFDM系统大多采用IFFT/FFT实现调制解调,因此在接收方确定FFT的起点对数据的正确解调也至关重要。同步技术即是针对系统中存在的定时偏差、频率偏差进行定时、频偏的估计与补偿,来减少各种同步偏差对系统性能的影响。在OFDM实现的关键技术中,同步技术是十分重要的一部分。本文花费了三个章节阐述了同步技术的原理、算法和实现方法。 目前OFDM系统的载波同步方案,可以归纳为三大类:辅助数据类,盲估计类和基于循环前缀的半盲估计类。本文首先分析了各种载波同步方案的优缺点,并举例说明了各个载波同步方式的实现方法。然后具体阐述了本文在FPGA平台上实现的OFDM接收端同步的同步方式,包括其具体算法和FPGA实现结构。本文所采用的帧同步和频率同步方案都是采用辅助数据类的,在阐述其具体算法的同时对算法在不同参数和不同形式下的性能做出了仿真对比分析。 OFDM的解调采用FFT算法,在FPGA上的实现是十分方便的。本文主要阐述其实现结构,重点放在提取有效数据部分有效数据位置的推导过程。最后介绍了本文实现QAM软解调的解调方法。 本文阐述算法采用先提出原理,然后给出具体公式,再根据公式中的系数和变量分析算法性能的方式。在阐述实现方式时首先给出实现框图,然后对框图中比较重要或者复杂的部分进行详细阐述。在介绍完每个模块实现方式之后给出了仿真或者上板结果,最后再给出整体测试结果。

    标签: OFDM FPGA

    上传时间: 2013-06-26

    上传用户:希酱大魔王

  • OFDM无线局域网关键技术的FPGA实现.rar

    无线局域网(WLAN)是未来移动通信系统的重要组成部分。由于摆脱了有线连接的束缚,无线局域网具有移动性好、成本低以及网络传输故障少等诸多优点,得到了越来越广泛的发展与应用。正交频分复用(OFDM)技术具有抗多径衰落,频谱利用率高等优点,特别适合于无线环境下的高速数据传输,是高速无线局域网的首选技术之一。从IEEE802.11a,IEEE802.11g到IEEE802.1n都是以OFDM为基础。随着OFDM技术的普及以及下一代通信技术对OFDM的青睐,研究与实现应用于无线局域网的OFDM关键技术具有一定的意义。 本文首先介绍了WLAN的基本概念及相关协议标准和OFDM系统的工作原理,并描述了基于IEEE802,11a和IEEE802.11n标准的OFDM系统的数据帧结构以及系统参数。文中对OFDM传输系统的关键算法进行了详细的研究。然后以Xilinx公司的ISE10.1为软件平台,利用VHDL描述的方式,并以FPGA(现场可编程门阵列)芯片SPARTAN-3E为硬件平台,研究实现了适用于IEEE802.11a和IEEE802.11n的64点16bits复数块浮点结构的FFT模块,(2,1,7)卷积编码和维特比译码模块,以及分组检测和符号定时模块,并进行了仿真、综合、下载验证等工作。

    标签: OFDM FPGA 无线局域网

    上传时间: 2013-06-25

    上传用户:cee16

  • 基于FPGA的OFDM调制解调器的设计与实现.rar

    正交频分复用(OFDM)技术是一种多载波数字调制技术,具有频谱利用率高、抗多径干扰能力强、成本低等特点,适合无线通信的高速化、宽带化及移动化的需求,将成为下一代无线通信系统(4G)的核心调制传输技术。 本文首先描述了OFDM技术的基本原理。对OFDM的调制解调以及其中涉及的特性和关键技术等做了理论上的分析,指出了OFDM区别于其他调制技术的巨大优势;然后针对OFDM中的信道估计技术,深入分析了基于FFT级联的信道估计理论和基于联合最大似然函数的半盲分组估计理论,在此基础上详细研究描述了用于OFDM系统的迭代的最大似然估计算法,并利用Matlab做了相应的仿真比较,验证了它们的有效性。 而后,在Matlab中应用Simulink工具构建OFDM系统仿真平台。在此平台上,对OFDM系统在多径衰落、高斯白噪声等多种不同的模型参数下进行了仿真,并给出了数据曲线,通过分析结果可正确评价OFDM系统在多个方面的性能。 在综合了OFDM的系统架构和仿真分析之后,设计并实现了基于FPGA的OFDM调制解调系统。首先根据802.16协议和OFDM系统的具体要求,设定了合理的参数;然后从调制器和解调器的具体组成模块入手,对串/并转换,QPSK映射,过采样处理,插入导频,添加循环前缀,IFFT/FFT,帧同步检测等各个模块进行硬件设计,详细介绍了各个模块的设计和实现过程,并给出了相应的仿真波形和参数说明。其中,针对定点运算的局限性,为系统设计并自定义了24位的浮点运算格式,参与傅立叶反变换和傅立叶变换的运算,在系统参数允许的范围内,充分利用了有限资源,提高了系统运算精度;然后重点描述了基于FPGA的快速傅立叶变换算法的改进、优化和设计实现,针对原始快速傅立叶变换FPGA实现算法运算空闲时间过多,资源占用较大的问题,提出了带有流水作业功能、资源占用较少的快速傅立叶变换优化算法设计方案,使之运用于OFDM基带处理系统当中并加以实现,结果满足系统参数的需求。最后以理论分析为依据,对整个OFDM的基带处理系统进行了系统调试与性能分析,证明了设计的可行性。 综上所述,本文完成了一个基于FPGA的OFDM基带处理系统的设计、仿真和实现。本设计为OFDM通信系统的进一步改进提供了大量有用的数据。

    标签: FPGA OFDM 调制解调器

    上传时间: 2013-07-25

    上传用户:14786697487

  • 超宽带脉冲与MB-OFDM物理层的FPGA实现

    现代通信系统对带宽和数据速率的要求越来越高,超宽带(ultra-wideband,UWB)通信以其传输速率高、空间容量大、成本低、功耗低的优点,成为解决企业、家庭、公共场所等高速因特网接入的需求与越来越拥挤的频率资源分配之间的矛盾的技术手段。 论文主要围绕两方面展开分析:一是介绍用于UWB无载波脉冲调制及直接序列码分多址调制(DS-CDMA)的新型脉冲,即Hermite正交脉冲,并且分析了这种构建UWB多元通信和多用户通信的系统性能。二是分析了UWB的多带频分复用物理层提案(MBOA)的调制技术,并在FPGA上实现了调制模块。正交Hermite脉冲集被提出用于UWB的M元双正交调制系统,获得高数据速率。调整脉冲的脉宽因子和中心频率能使脉冲满足FCC的频谱要求。M元双正交调制的接收机需要M/2个相关器,远比M元正交调制所需的相关器数量少。误码率一定时,维数M的增加可获得高的比特率和低的信噪比。虽然高阶的Hermite脉冲易受抖动时延的影响,但当抖动时延范围小于0.02ns时,其影响较为不明显。本文认为1~8阶的Hermite脉冲皆可用,可构成16元双正交系统。 正交Hermite脉冲集也可以构造UWB多用户系统。各用户的信息用不同的Hermite脉冲同时传输,其多用户的误比特率上限低于高斯单脉冲构成的PPM多用户系统的误比特率,所以其系统性能更优。正交Hermite脉冲还可以用于UWB的DS-CDMA调制,在8个脉冲可用的情况下,最多可容64个用户同时通信。 基于MBOA提出的UWB物理层协议,本文用Verilog硬件语言实现了调制与解调结构,并用Modelsim做了时序验证。用Verilog编程实现的输出数据与Matlab生成的UWB建模的输出结果一致。为了达到UWBMB-OFDM系统的FFT处理器的要求,一个混和基多通道流水线的FFT算法结构被提出。其有效的实现方法也被提出。这种结构采用多通道以获得高的数据吞吐量。此外,它用于存储和复数乘法器的硬件损耗相比其他的FFT处理器是最少的。高基的FFT蝶算减少了复数乘法器的数量。在132MHz的工作频率下,整个128点FFT变换在此结构模式下只需要242.4ns,满足了MBOA的要求。

    标签: MB-OFDM FPGA 超宽带 脉冲

    上传时间: 2013-07-29

    上传用户:TI初学者