虫虫首页|资源下载|资源专辑|精品软件
登录|注册

9S

  • 设计一个可以顺计时和倒计时的秒表。要求计时的范围为00.0S~99.9S

    设计一个可以顺计时和倒计时的秒表。要求计时的范围为00.0S~99.9S,用三位数码管显示。 (1) 倒计时:通过小键盘可以实现设定计时时间(以秒为单位,最大计时时间为99.9秒)。通过键盘实现计时开始、计时结束。当所设定的倒计时间到达00.0S后,自动停止倒计时,同时响铃。 (2) 顺计时:初始值为00.0S,通过键盘实现开始计时和结束计时功能。计时结束后,显示记录的时间。 (3) 用三个发光二极管正确显示以下状态:倒计时状态、顺计时状态、待机状态。 (4) 每当接收到有效按键时,蜂鸣器发出提示声。

    标签: 00.0 99.9 计时

    上传时间: 2013-12-29

    上传用户:stewart·

  • 一个用VHDL编程基于CPLD的EDA实验板开发可以实现顺计时和倒计时的秒表。要求计时的范围为00.0S~99.9S

    一个用VHDL编程基于CPLD的EDA实验板开发可以实现顺计时和倒计时的秒表。要求计时的范围为00.0S~99.9S,用三位数码管显示。 (1) 倒计时:通过小键盘可以实现设定计时时间(以秒为单位,最大计时时间为99.9秒)。通过键盘实现计时开始、计时结束。当所设定的倒计时间到达00.0S后,自动停止倒计时,同时响铃。 (2) 顺计时:初始值为00.0S,通过键盘实现开始计时和结束计时功能。计时结束后,显示记录的时间。 (3) 用三个发光二极管正确显示以下状态:倒计时状态、顺计时状态、待机状态。 (4) 每当接收到有效按键时,蜂鸣器发出提示声。 顺计时在一次计时中可以记录三个不同的结束时间,并能通过按键显示三次所记录的时间。

    标签: VHDL CPLD 00.0 99.9

    上传时间: 2013-11-30

    上传用户:zhangjinzj

  • 1. 对给定语料估计其基音周期。 要求用MATLAB语言实现有关基音检测算法

    1. 对给定语料估计其基音周期。 要求用MATLAB语言实现有关基音检测算法,并给出检测结果。2. 实验语料:aoYiSheng.raw 发音:“茅以升”;时长0.9S;采样率:8kHz;量化精度为16bits/sample;数据格式 LSB,MSB分析帧长:20ms(160样点)总帧数:45

    标签: MATLAB 基音 周期 语言

    上传时间: 2014-12-01

    上传用户:z754970244