虫虫首页|资源下载|资源专辑|精品软件
登录|注册

门级

  • FPGA门级结构及其时序基础

    基础结构

    标签: FPGA 门级 时序

    上传时间: 2013-12-18

    上传用户:sardinescn

  • Verilog_HDL的基本语法详解(夏宇闻版)

            Verilog_HDL的基本语法详解(夏宇闻版):Verilog HDL是一种用于数字逻辑电路设计的语言。用Verilog HDL描述的电路设计就是该电路的Verilog HDL模型。Verilog HDL既是一种行为描述的语言也是一种结构描述的语言。这也就是说,既可以用电路的功能描述也可以用元器件和它们之间的连接来建立所设计电路的Verilog HDL模型。Verilog模型可以是实际电路的不同级别的抽象。这些抽象的级别和它们对应的模型类型共有以下五种:   系统级(system):用高级语言结构实现设计模块的外部性能的模型。   算法级(algorithm):用高级语言结构实现设计算法的模型。   RTL级(Register Transfer Level):描述数据在寄存器之间流动和如何处理这些数据的模型。   门级(gate-level):描述逻辑门以及逻辑门之间的连接的模型。   开关级(switch-level):描述器件中三极管和储存节点以及它们之间连接的模型。   一个复杂电路系统的完整Verilog HDL模型是由若干个Verilog HDL模块构成的,每一个模块又可以由若干个子模块构成。其中有些模块需要综合成具体电路,而有些模块只是与用户所设计的模块交互的现存电路或激励信号源。利用Verilog HDL语言结构所提供的这种功能就可以构造一个模块间的清晰层次结构来描述极其复杂的大型设计,并对所作设计的逻辑电路进行严格的验证。   Verilog HDL行为描述语言作为一种结构化和过程性的语言,其语法结构非常适合于算法级和RTL级的模型设计。这种行为描述语言具有以下功能:   · 可描述顺序执行或并行执行的程序结构。   · 用延迟表达式或事件表达式来明确地控制过程的启动时间。   · 通过命名的事件来触发其它过程里的激活行为或停止行为。   · 提供了条件、if-else、case、循环程序结构。   · 提供了可带参数且非零延续时间的任务(task)程序结构。   · 提供了可定义新的操作符的函数结构(function)。   · 提供了用于建立表达式的算术运算符、逻辑运算符、位运算符。   · Verilog HDL语言作为一种结构化的语言也非常适合于门级和开关级的模型设计。因其结构化的特点又使它具有以下功能:   - 提供了完整的一套组合型原语(primitive);   - 提供了双向通路和电阻器件的原语;   - 可建立MOS器件的电荷分享和电荷衰减动态模型。   Verilog HDL的构造性语句可以精确地建立信号的模型。这是因为在Verilog HDL中,提供了延迟和输出强度的原语来建立精确程度很高的信号模型。信号值可以有不同的的强度,可以通过设定宽范围的模糊值来降低不确定条件的影响。   Verilog HDL作为一种高级的硬件描述编程语言,有着类似C语言的风格。其中有许多语句如:if语句、case语句等和C语言中的对应语句十分相似。如果读者已经掌握C语言编程的基础,那么学习Verilog HDL并不困难,我们只要对Verilog HDL某些语句的特殊方面着重理解,并加强上机练习就能很好地掌握它,利用它的强大功能来设计复杂的数字逻辑电路。下面我们将对Verilog HDL中的基本语法逐一加以介绍。

    标签: Verilog_HDL

    上传时间: 2013-11-23

    上传用户:青春给了作业95

  • FPGA门级结构及其时序基础

    基础结构

    标签: FPGA 门级 时序

    上传时间: 2013-11-23

    上传用户:er1219

  • Verilog_HDL的基本语法详解(夏宇闻版)

            Verilog_HDL的基本语法详解(夏宇闻版):Verilog HDL是一种用于数字逻辑电路设计的语言。用Verilog HDL描述的电路设计就是该电路的Verilog HDL模型。Verilog HDL既是一种行为描述的语言也是一种结构描述的语言。这也就是说,既可以用电路的功能描述也可以用元器件和它们之间的连接来建立所设计电路的Verilog HDL模型。Verilog模型可以是实际电路的不同级别的抽象。这些抽象的级别和它们对应的模型类型共有以下五种:   系统级(system):用高级语言结构实现设计模块的外部性能的模型。   算法级(algorithm):用高级语言结构实现设计算法的模型。   RTL级(Register Transfer Level):描述数据在寄存器之间流动和如何处理这些数据的模型。   门级(gate-level):描述逻辑门以及逻辑门之间的连接的模型。   开关级(switch-level):描述器件中三极管和储存节点以及它们之间连接的模型。   一个复杂电路系统的完整Verilog HDL模型是由若干个Verilog HDL模块构成的,每一个模块又可以由若干个子模块构成。其中有些模块需要综合成具体电路,而有些模块只是与用户所设计的模块交互的现存电路或激励信号源。利用Verilog HDL语言结构所提供的这种功能就可以构造一个模块间的清晰层次结构来描述极其复杂的大型设计,并对所作设计的逻辑电路进行严格的验证。   Verilog HDL行为描述语言作为一种结构化和过程性的语言,其语法结构非常适合于算法级和RTL级的模型设计。这种行为描述语言具有以下功能:   · 可描述顺序执行或并行执行的程序结构。   · 用延迟表达式或事件表达式来明确地控制过程的启动时间。   · 通过命名的事件来触发其它过程里的激活行为或停止行为。   · 提供了条件、if-else、case、循环程序结构。   · 提供了可带参数且非零延续时间的任务(task)程序结构。   · 提供了可定义新的操作符的函数结构(function)。   · 提供了用于建立表达式的算术运算符、逻辑运算符、位运算符。   · Verilog HDL语言作为一种结构化的语言也非常适合于门级和开关级的模型设计。因其结构化的特点又使它具有以下功能:   - 提供了完整的一套组合型原语(primitive);   - 提供了双向通路和电阻器件的原语;   - 可建立MOS器件的电荷分享和电荷衰减动态模型。   Verilog HDL的构造性语句可以精确地建立信号的模型。这是因为在Verilog HDL中,提供了延迟和输出强度的原语来建立精确程度很高的信号模型。信号值可以有不同的的强度,可以通过设定宽范围的模糊值来降低不确定条件的影响。   Verilog HDL作为一种高级的硬件描述编程语言,有着类似C语言的风格。其中有许多语句如:if语句、case语句等和C语言中的对应语句十分相似。如果读者已经掌握C语言编程的基础,那么学习Verilog HDL并不困难,我们只要对Verilog HDL某些语句的特殊方面着重理解,并加强上机练习就能很好地掌握它,利用它的强大功能来设计复杂的数字逻辑电路。下面我们将对Verilog HDL中的基本语法逐一加以介绍。

    标签: Verilog_HDL

    上传时间: 2014-12-03

    上传用户:cppersonal

  • HDL的可综合设计简介

    本文简单探讨了verilog HDL设计中的可综合性问题,适合HDL初学者阅读     用组合逻辑实现的电路和用时序逻辑实现的   电路要分配到不同的进程中。   不要使用枚举类型的属性。   Integer应加范围限制。    通常的可综合代码应该是同步设计。   避免门级描述,除非在关键路径中。

    标签: HDL 综合设计

    上传时间: 2013-11-18

    上传用户:swaylong

  • SystemC片上系统设计的源代码: 书籍介绍: SystemC是被实践证明的优秀的系统设计描述语言

    SystemC片上系统设计的源代码: 书籍介绍: SystemC是被实践证明的优秀的系统设计描述语言,它能够完成从系统到门级、从软件到硬件、从设计到验证的全部描述。SystemC 2.01已作为一个稳定的版本提交到IEEE,申请国际标准。 本书为配合清华大学电子工程系SystemC相关课程的教学而编写。全书分9章,内容包括:硬件描述语言的发展史;SystemC出现的历史背景和片上系统设计方法学概述;SystemC的基本语法;SystemC的寄存器传输级设计和SystemC的可综合语言子集,以及根据作者设计经历归结的RTL设计准则和经验;接口、端口和通道等SystemC行为建模实例——片上总线系统;SystemC与VHDL/Verilog HDL的比较;SystemC的验证标准和验证方法学;SystemC开发工具SystemC_win、WaveViewer等,以及使用MATLAB进行SystemC算法模块的验证。每一章都精心编写了课后习题以配合教学的需要。 本书可作为大学电子设计自动化(EDA)相关课程教材,也可供电子工程技术人员作为SystemC设计、应用开发的技术参考书。本书丰富的实例源代码特别适合初学者根据内容实际运行、体会,举一反三,以掌握SystemC进行应用系统设计。

    标签: SystemC 片上系统 源代码 书籍

    上传时间: 2014-11-29

    上传用户:qoovoop

  • 书籍介绍: SystemC是被实践证明的优秀的系统设计描述语言

    书籍介绍: SystemC是被实践证明的优秀的系统设计描述语言,它能够完成从系统到门级、从软体到硬体、从设计到验证的全部描述。这本也包含了最新的SystemC 2.1的新技术,。 本书可作为大学电子设计自动化(EDA)相关课程教材,也可供电子工程技术人员作为SystemC设计、应用开发的技术参考书。

    标签: SystemC 书籍 实践 系统设计

    上传时间: 2014-11-15

    上传用户:star_in_rain

  • Verilog HDL是一种硬件描述语言

    Verilog HDL是一种硬件描述语言,用于从算法级、门级到开关级的多种抽象设计层次的数字系统建模,想学习的这个资料对你有用。

    标签: Verilog HDL 硬件描述语言

    上传时间: 2015-09-01

    上传用户:zhaoq123

  • ABEL硬件程序设计

    硬件描述语言(英文: Hardware Description Language ,简称: HDL )是电子系统硬件行为描述、结构描述、数据流描述的语言。利用这种语言,数字电路系统的设计可以从顶层到底层(从抽象到具体)逐层描述自己的设计思想,用一系列分层次的模块来表示极其复杂的数字系统。然后,利用电子设计自动化( EDA )工具,逐层进行仿真验证,再把其中需要变为实际电路的模块组合,经过自动综合工具转换到门级电路网表。接下去,再用专用集成电路 ASIC 或现场可编程门阵列 FPGA 自动布局布线工具,把网表转换为要实现的具体电路布线结构

    标签: abel 硬件 FPGA

    上传时间: 2021-12-23

    上传用户:zhanglei193

  • VISUAL ELITE 0

    Summit Design公司基于ESL设计产品的最新Visual Elite图像产品具有Advanced SystemC建模及分析功能。该工具的最新版本包括原始SystemC构造,允许用户在SystemC内建模并验证设计。 该工具的HDL版本可帮助门级设计师们学习用Verilog和VHDL设计。最新版本的Visual Elite可帮助硬件设计师们和C/C++编程者迅速使用SystemC语言创建系统。Visual Elite 允许用户熟悉语言后,使用预建图形模块创建系统并自行创建文本模块。 该工具的浏览器

    标签: 机械原理 机械设计

    上传时间: 2013-04-15

    上传用户:eeworm