虫虫首页|资源下载|资源专辑|精品软件
登录|注册

调制解调器

调制解调器,是调制器和解调器的缩写,一种计算机硬件[1],它能把计算机的数字信号翻译成可沿普通电话线传送的模拟信号,而这些模拟信号又可被线路另一端的另一个调制解调器接收,并译成计算机可懂的语言。这一简单过程完成了两台计算机间的通信。
  • 现代通信越来越依靠全数字处理技术, 通信系统中的全数字调制解调意味着发射机 及接收机将全部采用数字信号处理(DSP) 算法, 从而整个通信系统就可以用DSP 芯片或超 大规模集成电路(VL S I

    现代通信越来越依靠全数字处理技术, 通信系统中的全数字调制解调意味着发射机 及接收机将全部采用数字信号处理(DSP) 算法, 从而整个通信系统就可以用DSP 芯片或超 大规模集成电路(VL S I) 器件来实现。对全数字BPSK 调制解调系统采用计算机仿真的方法 进行研究能清楚地了解通信系统中所运用的数字信号处理技术, 包括信息源、发送和接收 滤波器、内插器以及判决器等全部采用数字信号处理算法来实现。文章给出了BPSK 调制 解调系统各个模块的算法和结构, 运用MA TLAB 软件进行了仿真, 得出了各个部分的时域 和频域波形图, 系统仿真的设计方法对Q PSK、16QAM 等全数字调制解调系统的硬件实现 具有实际的指导意义。

    标签: DSP 全数字 通信系统 VL

    上传时间: 2014-01-17

    上传用户:Breathe0125

  • 现代通信越来越依靠全数字处理技术, 通信系统中的全数字调制解调意味着发射机 及接收机将全部采用数字信号处理(DSP) 算法, 从而整个通信系统就可以用DSP 芯片或超 大规模集成电路(VL S I

    现代通信越来越依靠全数字处理技术, 通信系统中的全数字调制解调意味着发射机 及接收机将全部采用数字信号处理(DSP) 算法, 从而整个通信系统就可以用DSP 芯片或超 大规模集成电路(VL S I) 器件来实现。对全数字BPSK 调制解调系统采用计算机仿真的方法 进行研究能清楚地了解通信系统中所运用的数字信号处理技术, 包括信息源、发送和接收 滤波器、内插器以及判决器等全部采用数字信号处理算法来实现。文章给出了BPSK 调制 解调系统各个模块的算法和结构, 运用MA TLAB 软件进行了仿真, 得出了各个部分的时域 和频域波形图, 系统仿真的设计方法对Q PSK、16QAM 等全数字调制解调系统的硬件实现 具有实际的指导意义。

    标签: DSP 全数字 通信系统 VL

    上传时间: 2013-12-09

    上传用户:wlcaption

  • 基于8PSK的调制解调

    基于8PSK的调制解调,中间还有滤波器,内插器,正交调制

    标签: 8PSK 调制解调

    上传时间: 2017-01-23

    上传用户:独孤求源

  • 图解振荡调制解调电路.rar

    基本涵盖了目前电子技术基础课程的主要内容以及必要的电路基础知识。其突出优点是内容简洁、精练、重点突出、注重基本概念和基本原理的阐述。对于进行系统技术培训或入门自学电子技术都不失为一套好教村。 《图解振荡/调制解调电路》主要章节有:振荡电路的设计方法,振幅调制的设计方法;调幅波解凋的设计方法;调频与调相的设计方法,调频波与调相波解调的设计方法等。 适用于电子学专业大、中专院校师生、工程技术人员及自学电子技术人员。

    标签: 图解 振荡调制 解调电路

    上传时间: 2013-04-24

    上传用户:fxf126@126.com

  • 基于FPGA的QDPSK调制解调技术的研究及实现.rar

    现代通信系统要求通信距离远、通信容量大、传输质量好。作为其关键技术之一的调制解调技术一直是人们研究的一个重要方向。用FPGA实现调制解调器具有体积小、功耗低、集成度高、可软件升级、抗干扰能力强的特点,符合未来通信技术发展的方向。论文从以下几个方面讨论和实现了基于FPGA的调制解调系统。 论文首先介绍了调制解调系统的发展现状及FPGA的相关知识。然后介绍了几种常见的相位调制解调方式,重点是QDPSK调制解调系统的理论算法。 论文重点介绍了QDPSK解调调制系统的具体实现。首先,在在MATLAB环境下对系统里的每个子模块完成了功能仿真,并取得满意的仿真结果;其次,在QDPSK调制解调系统功能仿真正确的基础上,对每个模块的功能编写C++算法,并且验证了算法的正确性和可实现性;最后,在altera公司的FPGA开发平台Quartus Ⅱ 6.0上,采用Verilog硬件描述语言对QDPSK调制解调系统实现了时序仿真和综合仿真。

    标签: QDPSK FPGA 调制

    上传时间: 2013-04-24

    上传用户:lepoke

  • 基于FPGA的QAM调制解调技术研究.rar

    众所周知,信息传输的核心问题是有效性和可靠性,调制解调技术的发展正是体现了这一思想。从最早的模拟调幅调频技术的日益完善,到现在数字调制技术的广泛运用,使得信息的传输更为有效和可靠。QAM调制作为一种新的调制技术,因其具有很高的频带利用率而得到了广泛的应用。 本文对基于FPGA的16QAM调制解调进行了讨论和研究。首先对16QAM调制解调原理进行了阐述,建立了16QAM调制解调系统的数学模型,然后通过分析提出了基于FPGA的16QAM调制解调系统的设计方案。最后编写Verilog代码实现了算法仿真。 FPGA芯片采用的是Altera公司的大规模集成电路芯片Cyclone系列的EPlC20F32417,并通过软件编程对其进行了相关调试。文中详细介绍了基带成形滤波器、载波恢复和定时同步的基本原理及其设计方法。首先用Matlab对整个16QAM系统进行了软件仿真;然后用硬件描述语言Verilog HDL在QuartusⅡ环境下完成了系统关键算法的编写、行为仿真和综合,最后详细阐述了异步串口(UART)的FPGA实现,把我们编写的Verilog程序下载到EPlC20F32417芯片上效果很好。

    标签: FPGA QAM 调制解调

    上传时间: 2013-04-24

    上传用户:talenthn

  • 数字式π/4-DQPSK调制解调研究与FPGA实现

      数字式π/4-DQPSK是一种线性窄带调制技术,具有频谱利用率高、频谱特性好、抗衰落性能强、可用非相干解调等突出特点。在移动通信、卫星通信中得到广泛应用。  本文介绍了π/4-DQPSK调制解调的基本原理和各个模块的设计实现;完成了调制解调算法的Matlab仿真设计;采用VHDL硬件描述语言在Xilinx公司的ISE5.2开发环境下设计实现各个模块,通过了时序仿真,实现了正确解调;分析了在实现过程中,采用1bit差分检测了误码率。文章由推出的误码率表达式得到静态高斯噪声下,信噪比为16dB时误码率可达10-8。用Protel99SE进行PCB板设计,完成程序下载进FPGA芯片以及电路调试,其输入符号速率200kbps,调制中频455kHz。测试结果验证了程序的正确,实现了π/4-DQPSK调制解调系统完成预定的目标。  

    标签: DQPSK FPGA 数字式 调制解调

    上传时间: 2013-04-24

    上传用户:June

  • 基于FPGA 的QPSK 调制解调电路设计与实现Design and Realization of QPSK Modulation andDemodulation Circuit Based on F

    数字调制解调技术在数字通信中占有非常重要的地位,数字通信技术与FPGA的结合是现代通信系统发展的一个必然趋势。文中介绍了QPSK调制解调的原理,并基于FPGA实现了QPSK调制解调电路。

    标签: QPSK andDemodulation Realization Modulation

    上传时间: 2013-07-03

    上传用户:1142895891

  • 基于FPGA的π4DQPSK调制解调技术

    本文的设计采用FPGA来实现π/4DQPSK调制解调。采用π/4DQPSK的调制解调方式是基于频带利用率、误比特率(即抗噪性)和实现复杂性等综合因素的考虑;采用FPGA进行实现是考虑到高速的数据处理以及AD和DA的高速采样。 本课题主要包含以下几个方面的研究: 首先对π/4DQPSK技术的应用发展情况做简单介绍,并对其调制解调原理进行了详细的阐述。在理解原理的基础上,将调制解调进行模块化划分,提出了实现的思路和方法。其中包括串并转换,差分相位编码,内插,成形滤波器,正交调制,带通滤波器及希尔伯特变换,解调,位同步,载波同步,差分相位解码。 其次在FPGA上实现了π/4DQPSK的大部分模块。其中调制端的各个模块的功能都已经实现,并综合在一起,下载到开发板上进行了在线仿真。其中成形滤波器的设计大大降低了FPGA的资源开销,是本次设计的创新;解调端对载波同步和位同步提出了设计思路,具体的实现还需要进一步的研究;接口电路的测试和在线仿真已经完成。 最后提出了硬件实现的方案以及三种芯片的选型与设计,给出了简要的电路图和时序图。

    标签: 4DQPSK FPGA 调制 解调技术

    上传时间: 2013-08-03

    上传用户:fzy309228829

  • 基于FPGA的QAM调制解调技术研究

    众所周知,信息传输的核心问题是有效性和可靠性,调制解调技术的发展正是体现了这一思想。从最早的模拟调幅调频技术的日益完善,到现在数字调制技术的广泛运用,使得信息的传输更为有效和可靠。QAM调制作为一种新的调制技术,因其具有很高的频带利用率而得到了广泛的应用。 本文对基于FPGA的16QAM调制解调进行了讨论和研究。首先对16QAM调制解调原理进行了阐述,建立了16QAM调制解调系统的数学模型,然后通过分析提出了基于FPGA的16QAM调制解调系统的设计方案。最后编写Verilog代码实现了算法仿真。 FPGA芯片采用的是Altera公司的大规模集成电路芯片Cyclone系列的EPlC20F32417,并通过软件编程对其进行了相关调试。文中详细介绍了基带成形滤波器、载波恢复和定时同步的基本原理及其设计方法。首先用Matlab对整个16QAM系统进行了软件仿真;然后用硬件描述语言Verilog HDL在QuartusⅡ环境下完成了系统关键算法的编写、行为仿真和综合,最后详细阐述了异步串口(UART)的FPGA实现,把我们编写的Verilog程序下载到EPlC20F32417芯片上效果很好。

    标签: FPGA QAM 调制解调 技术研究

    上传时间: 2013-06-11

    上传用户:q123321