虫虫首页|资源下载|资源专辑|精品软件
登录|注册

设计模块

  • PADS2007-RF设计

    在 PADS2007 中,增加一部分专门针对射频(RF)设计的功能模块,包括前面介绍的通过AutoCAD 的DXF 文件导入,来建立一个特殊形状的器件管脚。下面我们来介绍一下在高速或者高频电路板设计中,如何在PCB 板边,或者高速、高频信号线周围,或者PCB 板上的空余区域添加屏蔽地过孔。我们先来看一下在没有 PADS2007 的RF 设计模块的情况下,是如何手工添加GND 过孔的。

    标签: PADS 2007 RF

    上传时间: 2013-11-19

    上传用户:haohao

  • 此为基于FPGA的射频热疗系统的设计

    此为基于FPGA的射频热疗系统的设计,包括温度测量模块,指定温度设计模块,模糊控制器模块,温度显示及分频模块等。

    标签: FPGA 射频热疗

    上传时间: 2014-07-06

    上传用户:xuanjie

  • 基于jtag接口电路测试系统的上位机软件设计与实现

    本文开发的上位机软件是在VS2010平台上基于MFC框架开发,并进行了以下几个方面的分析、设计与实现。首先对边界扫描原理进行了研究,如TAP端口、TAP控制器、指令寄存器和数据寄存器等。在对原理有一定的了解后,分析了三种边界扫描测试电路扩展方式和边界扫描测试的流程。同时也对网表文件和BSDL文件的格式进行了分析,为之后对这两种文件进行读取做好准备。接着对边界扫描测试系统的总体设计进行了分析,同时对上位机软件的需求进行了分析。需求分析是软件开发的重要环节,能对之后的软件具体开发工作起到事半功倍的作用。然后就是对上位机软件的具体设计和实现部分,本文把上位机软件主要分为4个模块:测试文件处理模块、测试矢量生成模块、USB通信模块和项目管理与界面设计模块。测试文件处理模块分为BSDL文件处理和网表文件处理,分别实现了对BSDL文件的通用性解析和对多种EDA软件导出网表文件的解析:测试矢量生成模块实现了对ID码指令、采样指令和外测试指令的测试矢量生成:USB通信模块利用Cypress(赛普拉斯)公司提供的CyAPI实现了USB通信类的编写,实现了与测试控制器的通信;项目管理与界面设计模块实现了工程文件的可移植性和友好的操作界面。最后通过对上位机软件、测试控制器和被测电路板进行联合调试,调试结果表明本文开发的上位机软件能够实现预期的需求,即ID码测试、动态显示管脚状态和设置管脚状态等功能。

    标签: jtag 接口 电路测试系统 上位机

    上传时间: 2022-06-25

    上传用户:hbsun

  • 基于FPGA的PID智能控制器的研究.rar

    工业生产过程往往具有非线性、不确定性,难以建立精确的数学模型。应用常规的PID控制器难以达到理想的控制效果。作为的重要分支,人工神经网络具有良好的非线性映射能力和高度的并行信息处理能力,已成为非线性系统建模、辨识和控制中常用的理论和方法。其中,神经元具有很强的信息综合、学习记忆、自学习和自适应能力,可以处理那些难以用模型和规则描述的过程,将神经元与PID结合,应用到实际的控制中,可以在线调整PID的参数,使系统具有较强的抗干扰能力、自适应能力和较好的鲁棒性。 目前,人工神经网络的研究主要是神经网络的理论研究、神经网络的应用研究和神经网络的实现技术研究,这三方面是相互依赖和相互促进的关系。本文主要侧重的是神经网络的实现技术研究方面,创新性地利用FPGA嵌入式系统开发技术实现单神经元PID智能控制器的研究与设计,并将其封装成为一个专用的IP核供其他的控制系统使用。 首先,对单神经元PID智能控制器的设计原理和设计算法进行了深入的研究与分析;其次,利用MATLAB设计单神经元PID智能控制器,针对特定的被控对象,对其进行仿真实验,获得比较理想的系统输出;然后,研究基于FPGA的单神经元智能控制算法的实现,对控制器进行VHDL语言分层设计,使用Altera公司的软件QuartusⅡ6.1进行仿真实验。两个仿真实验结果表明,基于FPGA的单神经元智能控制器比MATLAB设计的单神经元PID智能控制器性能优良。 本文的设计模块主要包括权值修改模块、误差计算模块、权值产生模块和输出模块。在各个模块的设计中进行了优化处理,使本文的设计不仅利用的硬件资源少,而且也有很快的运行速度,同时也改善了传统控制器的控制性能。

    标签: FPGA PID 智能控制器

    上传时间: 2013-04-24

    上传用户:13517191407

  • 基于FPGA的实时图像采集与处理系统研究.rar

    随着数码技术的不断发展,数字图像处理的应用领域不断扩大,其实时处理技术成为研究的热点。VLSI技术的迅猛发展为数字图像实时处理技术提供了硬件基础。其中FPGA(现场可编程门阵列)的特点使其非常适用于进行一些基于像素级的图像处理。 传统的图像显示系统必须连接到PC才能观察图像视频,存在着高速实时性、稳定性问题。本设计脱离高清晰工业相机必须与PC连接才可以观看到高清晰图像的束缚,实现系统的小型化。针对130万像素彩色1/2英寸镁光CMOS图像传感器,提出用硬件实现Bayer格式到RGB格式转换的设计方案,完成由黑白图像到高清彩色图像的转换,用SDRAM作缓存,输出标准VGA信号,可直接连接VGA显示器、投影仪等设备进行实时的视频图像观看,与模拟相机740X576分辨率(480线)图像相比,设计图像画质相当于1280X1024分辨率(750线),最高帧率25fps,整个结构应用FPGA作为主控制器,用少量的缓存代替传统的大容量存储,加快了运算速率,减小了电路规模,满足图像实时处理的要求,使展现出来的视频图像得到质的飞跃。可以广泛应用于工业控制和远程监控等领域。 论文研究的重点是采用altera公司EP2C芯片前端驱动CMOS图像传感器,实时采集Bayer图像象素,分析研究CFA图像插值算法,实现了基于FPGA的实时线性插值算法,能够对输入是每像素8bit、分辨率为1280×1204的Bayer模式图像数据进行实时重构,输出彩色RGB图像。由端口FIFO作为数据缓冲,存储一帧图像到高速SDRAM,构建VGA显示控制器,实现对输入是每像素24bit(RGB101010)、分辨率为640×480、帧频25HZ彩色图像进行实时显示。 整个模块结构包括电源模块单元等、CMOS成像单元、FPGA数据处理单元、SDRAM控制单元、VGA显示接口单元。 最后,对系统进行了调试。经实验验证,系统达到了实时性,能正确和可靠的工作。整个设计模块能够满足高帧率和高清晰的实时图像处理,占用系统资源很少,用较少的时间完成了图像数据的转换,提高了效率。

    标签: FPGA 实时图像采集 与处理系统

    上传时间: 2013-06-08

    上传用户:zhengjian

  • 智能人脸识别算法及其FPGA的实现.rar

    人脸自动识别技术是模式识别、图像处理等学科的一个最热门研究课题之一。随着社会的发展,各方面对快速有效的自动身份验证的要求日益迫切,而人脸识别技术作为各种生物识别技术中最重要的方法之一,已经越来越多的受到重视。对于具有实时,快捷,低误识率的高性能算法以及对算法硬件加速的研究也逐渐展开。 本文详细分析了智能人脸识别算法原理,发展概况和前景,包括人脸检测算法,人眼定位算法,预处理算法,PCA和ICA 算法,详细分析了项目情况,系统划分,软硬件平台的资源和使用。并在ISE软件平台上,用硬件描述语言(verilog HDL)对算法部分严格按照FPGA代码风格进行了RTL 硬件建模,并对C++算法进行了优化处理,通过仿真与软件算法结果进行比对,评估误差,最后在VirtexII Pro FPGA 上进行了综合实现。 主要研究内容如下: 首先,对硬件平台xilinx的VirtexII Pro FPGA 上的系统资源进行了描述和研究,对存储器sdram,RS-232 串口,JTAG 进行了研究和调试,对Coreconnect的OPB总线仲裁机理进行了两种算法的比较,RTL 设计,仿真和综合。利用ISE和VC++软件平台,对verilog和C++算法进行同步比较测试,使每步算法对应正确的结果。对软硬件平台的合理使用使得在项目中能尽可能多的充分利用硬件资源,制板时正确选型,以及加快设计和调试进度。其次,对人脸识别算法流程中的人脸检测,人眼定位,预处理,识别算法分别进行了比较研究,选取其中各自性能最好的一种算法对其原理进行了分析讨论。人脸检测采用adaboost 算法,因其速度和精度的综合性能表现优异。人眼定位采用小块合并算法,因为它具有快速,准确,弱时实的特点。预处理算法采用直方图均衡加平滑的算法,简单,高效。 识别算法采用PCA 加ICA 算法,它能最大的弱化姿态和光照对人脸识别的影响。 最后,使用Verilog HDL 硬件描述语言进行算法的RTL 建模,在C++算法的基础上,保证原来效果的前提下,根据FPGA 硬件特点对算法进行了优化。视频输入输出是人脸识别的前提,它提供FPGA 上算法需要处理的数据,预处理算法在C++算法的基础上进行了优化,最大的减少了运算量,提高了运算速度,16 位计算器模块使得在算法实现时可以根据系统要求,在FPGA的ip 核和自己设计的模块之间选择性能更好的一个来调用,FIFO的设计提供同步和异步时钟域的数据缓存。设计在ISE和VC++软件平台同时进行,随时对verilog和C++数据进行监测和比对。全部设计模块通过仿真,达到预定的性能要求,并在FPGA 上综合实现。

    标签: FPGA 人脸识别 算法

    上传时间: 2013-07-13

    上传用户:李梦晗

  • 基于FPGA组的ASIC逻辑验证技术研究

    随着ASIC设计规模的增长,功能验证已成为整个开发周期的瓶颈。传统的基于软件模拟和硬件仿真的逻辑验证方法已难以满足应用的要求,基于FPGA组的原型验证方法能有效缩短系统的开发周期,可提供更快更全面的验证。由于FPGA芯片容量的增加跟不上ASIC设计规模的增长,单芯片已无法容纳整个设计,所以常常需要对设计进行逻辑分割,将子逻辑块映射到FPGA阵列中。 本文对逻辑验证系统的可配置互连结构和ASIC逻辑分割算法进行了深入的研究,提出了FPGA阵列的非对称可配置互连结构。与现有的对称互连结构相比,该结构能提供更多的互连通道,可实现对I/O数量、电平类型和互连路径的灵活配置。 本文对逻辑分割算法进行了较深入的研究。针对现有的两类分割算法存在的不足,提出并实现了基于设计模块的逻辑分割算法,该算法有三个重要特征:1)基于设计代码;2)以模块作为逻辑分割的最小单位;3)使用模块资源信息指导逻辑分割过程,避免了设计分割过程的盲目性,简化了逻辑分割过程。 本文还对并行逻辑分割方法进行了研究,提出了两种基于不同任务分配策略的并行分割算法,并对其进行了模拟和性能分析;验证了采用并行方案对ASIC逻辑进行分割和映射的可行性。 最后基于改进的芯片互连结构,使用原型系统验证方法对某一大规模ASIC设计进行了逻辑分割和功能验证。实验结果表明,使用改进后的FPGA阵列互连结构可以更方便和快捷地实现ASIC设计的分割和验证,不但能显著提高芯片间互连路径的利用率,而且能给逻辑分割乃至整个验证过程提供更好的支持,满足现在和将来大规模ASIC逻辑验证的需求。

    标签: FPGA ASIC 逻辑 验证技术

    上传时间: 2013-06-12

    上传用户:极客

  • 基于FPGA的Rake接收机的研究

    码分多址(CDMA)通信方式以其特有的抗干扰性、多址能力和多径分集能力,而成为第三代移动通信系统的主要技术。其中Rake接收技术是CDMA系统中的一项关键技术。随着通信技术的迅猛发展,Rake接收技术以其有效的抗衰落的能力一直是人们研究的热点。人们不断的对传统的Rake接收机进行改进,获得性能更佳的Rake接收机。FPGA技术的快速发展,也很大的改变了传统的数字系统设计的方法。FPGA以其庞大的规模、开发过程投资小、开发周期短、保密性好等优点,为人们对Rake接收机的研究提供了方便。 本文旨在设计一种功耗低、硬件实现相对简单的Rake接收机结构。首先,本文介绍了Rake接收的相关理论,对Rake技术的抗衰落性能进行了分析,然后,对各种Rake接收机进行了比较,最终提出了一种灵活配置的Rake接收机的改进方案,该方案采用了不同的缓冲器结构,能够更多的节约硬件资源,整个接收机的功耗更低。最后利用VerilogHDL语言对其中的主要模块进行编程设计,并在Xilinx公司的集成开发工具ISE6.1中进行仿真,仿真平台为Spartan-3系列中的XC3S1000芯片。仿真结果表明了所设计模块的正确性。所设计模块具有良好的可移植性,能够被相关的系统调用,本文所做工作有一定的实际意义。

    标签: FPGA Rake 接收机

    上传时间: 2013-06-21

    上传用户:gaorxchina

  • Verilog_HDL的基本语法详解(夏宇闻版)

            Verilog_HDL的基本语法详解(夏宇闻版):Verilog HDL是一种用于数字逻辑电路设计的语言。用Verilog HDL描述的电路设计就是该电路的Verilog HDL模型。Verilog HDL既是一种行为描述的语言也是一种结构描述的语言。这也就是说,既可以用电路的功能描述也可以用元器件和它们之间的连接来建立所设计电路的Verilog HDL模型。Verilog模型可以是实际电路的不同级别的抽象。这些抽象的级别和它们对应的模型类型共有以下五种:   系统级(system):用高级语言结构实现设计模块的外部性能的模型。   算法级(algorithm):用高级语言结构实现设计算法的模型。   RTL级(Register Transfer Level):描述数据在寄存器之间流动和如何处理这些数据的模型。   门级(gate-level):描述逻辑门以及逻辑门之间的连接的模型。   开关级(switch-level):描述器件中三极管和储存节点以及它们之间连接的模型。   一个复杂电路系统的完整Verilog HDL模型是由若干个Verilog HDL模块构成的,每一个模块又可以由若干个子模块构成。其中有些模块需要综合成具体电路,而有些模块只是与用户所设计的模块交互的现存电路或激励信号源。利用Verilog HDL语言结构所提供的这种功能就可以构造一个模块间的清晰层次结构来描述极其复杂的大型设计,并对所作设计的逻辑电路进行严格的验证。   Verilog HDL行为描述语言作为一种结构化和过程性的语言,其语法结构非常适合于算法级和RTL级的模型设计。这种行为描述语言具有以下功能:   · 可描述顺序执行或并行执行的程序结构。   · 用延迟表达式或事件表达式来明确地控制过程的启动时间。   · 通过命名的事件来触发其它过程里的激活行为或停止行为。   · 提供了条件、if-else、case、循环程序结构。   · 提供了可带参数且非零延续时间的任务(task)程序结构。   · 提供了可定义新的操作符的函数结构(function)。   · 提供了用于建立表达式的算术运算符、逻辑运算符、位运算符。   · Verilog HDL语言作为一种结构化的语言也非常适合于门级和开关级的模型设计。因其结构化的特点又使它具有以下功能:   - 提供了完整的一套组合型原语(primitive);   - 提供了双向通路和电阻器件的原语;   - 可建立MOS器件的电荷分享和电荷衰减动态模型。   Verilog HDL的构造性语句可以精确地建立信号的模型。这是因为在Verilog HDL中,提供了延迟和输出强度的原语来建立精确程度很高的信号模型。信号值可以有不同的的强度,可以通过设定宽范围的模糊值来降低不确定条件的影响。   Verilog HDL作为一种高级的硬件描述编程语言,有着类似C语言的风格。其中有许多语句如:if语句、case语句等和C语言中的对应语句十分相似。如果读者已经掌握C语言编程的基础,那么学习Verilog HDL并不困难,我们只要对Verilog HDL某些语句的特殊方面着重理解,并加强上机练习就能很好地掌握它,利用它的强大功能来设计复杂的数字逻辑电路。下面我们将对Verilog HDL中的基本语法逐一加以介绍。

    标签: Verilog_HDL

    上传时间: 2013-11-23

    上传用户:青春给了作业95

  • multisim10.0仿真软件破解版下载

    multisim10.0仿真软件破解版下载:【软件介绍】 Multisim本是加拿大图像交互技术公司(Interactive Image Technoligics简称IIT公司)推出的以Windows为基础的仿真工具,被美国NI公司收购后,更名为NI Multisim ,而V10.0是其(即NI,National Instruments)最新推出的Multisim最新版本。 目前美国NI公司的EWB的包含有电路仿真设计的模块Multisim、PCB设计软件Ultiboard、布线引擎Ultiroute及通信电路分析与设计模块Commsim 4个部分,能完成从电路的仿真设计到电路版图生成的全过程。Multisim、Ultiboard、Ultiroute及Commsim 4个部分相互独立,可以分别使用。Multisim、Ultiboard、Ultiroute及Commsim 4个部分有增强专业版(Power Professional)、专业版(Professional)、个人版(Personal)、教育版(Education)、学生版(Student)和演示版(Demo)等多个版本,各版本的功能和价格有着明显的差异。 NI Multisim 10用软件的方法虚拟电子与电工元器件,虚拟电子与电工仪器和仪表,实现了“软件即元器件”、“软件即仪器”。NI Multisim 10是一个原理电路设计、电路功能测试的虚拟仿真软件。 NI Multisim 10的元器件库提供数千种电路元器件供实验选用,同时也可以新建或扩充已有的元器件库,而且建库所需的元器件参数可以从生产厂商的产品使用手册中查到,因此也很方便的在工程设计中使用。 NI Multisim 10的虚拟测试仪器仪表种类齐全,有一般实验用的通用仪器,如万用表、函数信号发生器、双踪示波器、直流电源;而且还有一般实验室少有或没有的仪器,如波特图仪、字信号发生器、逻辑分析仪、逻辑转换器、失真仪、频谱分析仪和网络分析仪等。 NI Multisim 10具有较为详细的电路分析功能,可以完成电路的瞬态分析和稳态分析、 时域和频域分析、器件的线性和非线性分析、电路的噪声分析和失真分析、离散傅里叶分析、电路零极点分析、交直流灵敏度分析等电路分析方法,以帮助设计人员分析电路的性能。 NI Multisim 10可以设计、测试和演示各种电子电路,包括电工学、模拟电路、数字电路、射频电路及微控制器和接口电路等。可以对被仿真的电路中的元器件设置各种故障,如开路、短路和不同程度的漏电等,从而观察不同故障情况下的电路工作状况。在进行仿真的同时,软件还可以存储测试点的所有数据,列出被仿真电路的所有元器件清单,以及存储测试仪器的工作状态、显示波形和具体数据等。 NI Multisim 10有丰富的Help功能,其Help系统不仅包括软件本身的操作指南,更要的是包含有元器件的功能解说,Help中这种元器件功能解说有利于使用EWB进行CAI教学。另外,NI Multisim10还提供了与国内外流行的印刷电路板设计自动化软件Protel及电路仿真软件PSpice之间的文件接口,也能通过Windows的剪贴板把电路图送往文字处理系统中进行编辑排版。支持VHDL和Verilog HDL语言的电路仿真与设计。 利用NI Multisim 10可以实现计算机仿真设计与虚拟实验,与传统的电子电路设计与实验方法相比,具有如下特点:设计与实验可以同步进行,可以边设计边实验,修改调试方便;设计和实验用的元器件及测试仪器仪表齐全,可以完成各种类型的电路设计与实验;可方便地对电路参数进行测试和分析;可直接打印输出实验数据、测试参数、曲线和电路原理图;实验中不消耗实际的元器件,实验所需元器件的种类和数量不受限制,实验成本低,实验速度快,效率高;设计和实验成功的电路可以直接在产品中使用。 NI Multisim 10易学易用,便于电子信息、通信工程、自动化、电气控制类专业学生自学、便于开展综合性的设计和实验,有利于培养综合分析能力、开发和创新的能力。 multisim10.0激活码及破解序列号

    标签: multisim 10.0 仿真软件

    上传时间: 2013-10-11

    上传用户:阳光少年2016