虫虫首页|资源下载|资源专辑|精品软件
登录|注册

数据发生器

  • 基于C8051F单片机信号发生器设计与应用

    信号发生器设计以C8051F121 单片机为核心,采用串口通信和D/A 转换,通过在VB可视化操作界面下参数化调节信号的幅值、脉宽、频率、持续时间,可以得到任意波形。数据通过串口传给单片机,单片机经过

    标签: C8051F 单片机 信号发生器

    上传时间: 2013-05-28

    上传用户:qb1993225

  • 基于ARM技术的三相正弦波信号发生器

    随着我国电力行业的飞速发展,安全五防工作的重要性日益突显。为此我国大部分省市电力部门均要求高压带电设备必须配装安全五防装置——即高压带电显示装置。感应式高压带电显示闭锁装置由于其非接触式传感特性和相间处理无干扰的优点成为行业首选,而三相正弦波信号发生器则是感应式高压带电显示闭锁装置主要电气性能保证的关键。 本文研究基于感应式高压带电显示闭锁装置所感应的高压带电体电场信号,并依据感应式高压带电显示闭锁装置的电气性能行业标准制定了该信号发生器的性能指标。设计的三相正弦波信号发生器在硬件架构上以ARM7微处理器为核心,符合16C550工业标准的异步串行口UART0与PC机通信,便于信号输出和数据保存,为满足感应式高压带电显示闭锁装置在复杂环境运行的数据分析和智能决策提供了平台。现场数据的实时采集、保存和分析功能,将对感应式高压带电显示闭锁装置的智能化起到关键作用。

    标签: ARM 三相 正弦波信号 发生器

    上传时间: 2013-04-24

    上传用户:lht618

  • 基于FPGA的DDS双通道波形发生器

    直接数字频率合成(DDS)是七十年代初提出的一种新的频率合成技术,其数字结构满足了现代电子系统的许多要求,因而得到了迅速的发展。现场可编程门阵列器件(FPGA)的出现,改变了现代电子数字系统的设计方法,提供了一种全新的设计模式。本论文结合这两项技术,并利用单片机控制灵活的特点,开发了一种双通道波形发生器。在实现过程中,选用了Altera公司的EP1C6Q240C8芯片作为产生波形数据的主芯片,充分利用了该芯片的超大集成性和快速性。在控制芯片上选用ATMAL的AT89C51单片机作为控制芯片。本设计中,FPGA芯片的设计和与控制芯片的接口设计是一个难点,本文利用Altera的设计工具Quartus Ⅱ并结合Verilog-HDL语言,采用硬件编程的方法很好地解决了这一问题。 本文首先介绍了波形发生器的研究背景和DDS的理论。然后详尽地叙述了用EP1C6Q240C8完成DDS模块的设计过程,这是设计的基础。接着分析了整个设计中应处理的问题,根据设计原理就功能上进行了划分,将整个仪器功能划分为控制模块、外围硬件、FPGA器件三个部分来实现。然后就这三个部分分别详细地进行了阐述。并且通过系列实验,详细地分析了该波形发生器的功能、性能、实现和实验结果。最后,结合在设计中的一些心得体会,提出了本设计中的一些不足和改进意见。通过实验说明,本设计达到了预定的要求,并证明了采用软硬件结合,利用FPGA实现基于DDS架构的双路波形发生器是可行的。

    标签: FPGA DDS 双通道 波形发生器

    上传时间: 2013-04-24

    上传用户:gxf2016

  • 基于FPGA的PWM发生器的研究与设计

    PWM(脉冲宽度调制)是一种利用数字信号来控制模拟电路的控制技术,广泛应用于电源、电机、伺服系统、通信系统、电子控制器、功率控制等电力电子设备。PWM技术在逆变电路中的应用最为广泛,也是变频技术的核心,同时在机床,液压位置控制系统等机械装置中也发挥着重要的作用。PWM技术已经成为控制领域的一个热点,因此研究PWM发生器对于基础理论的发展和技术的改进都有十分重要的意义。 论文研究的主要内容是用任意波形作为调制信号通过特定的方法来产生所需要的PWM波形,任意波形的合成和PWM波形的生成是两个主要任务。任意波形的合成是课题设计的一个难点,也是影响系统性能的关键因素之一。论文中波形合成采用直接数字频率合成(DDS)技术来实现。DDS技术以相位为地址,通过查找离散幅度数据进行波形合成,具有输出波形相位变化连续、分辨率高、频率转换速率快的优点,而且通过设置控制字可灵活方便地改变输出频率,是目前波形合成的主流方法。 实现PWM发生器的设计方法有多种。在综合比较了单片机、DSP、ARM等常用开发工具特点的基础上,本文提出了一种以可编程逻辑器件(PLD)为主体,单片机辅助配合的设计方法。随着计算机技术和微电了技术的迅速发展,可编程逻辑器件的集成度和容量越来越大,基于PLD的设计方法正逐步成为一种主流于段,是近些年来电子系统设计的一个热点。整个系统分为模拟波形产生、单片机控制电路、FPGA内部功能模块三大部分。FPGA部分的设计是以Altera公司的Quartus Ⅱ软件为开发平台,采用VHDL语言为主要输入手段来完成内部各功能模块的设计输入、编译、仿真等调试工作,目标载体选用性价比比较高的Altera公司的CycloneⅡ系列的器件;单片机控制电路主要负责控制字的设置和显示,波形数据的接受与发送;用MATLAB软件完成仟意波形的绘制和模拟任务。 论文共分五章,详细介绍了课题的背景、PWM发生器的发展和应用以及选题的目的和意义等,论述了系统设计方案的可行性,对外围电路和FPAG内部功能模块的设计方法进行了具体说明,并对仿真结果、系统的性能、存在的问题和改进方法等进行了分析和阐述。整个设计满足PWM发生器的任务和功能要求,设计方法可行。

    标签: FPGA PWM 发生器

    上传时间: 2013-06-03

    上传用户:a155166

  • 基于FPGA的任意波形发生器

    随着国民经济的发展和社会的进步,人们越来越需要便捷的交通工具,从而促进了汽车工业的发展,同时汽车发动机检测维修等相关行业也发展起来。在汽车发动机检测维修中,发动机电脑(Electronic Control.Unit-ECU)检测维修是其中最关键的部分。发动机电脑根据发动机的曲轴或凸轮轴传感器信号控制发动机的喷油、点火和排气。所以,维修发动机电脑时,必须对其施加正确的信号。目前,许多发动机的曲轴和凸轮轴传感器信号已不再是正弦波和方波等传统信号,而是多种复杂波形信号。为了能够提供这种信号,本文研究并设计了一种能够产生复杂波形的低成本任意波形发生器(Arbitrary Waveform Generator-AWG)。 本文提出的任意波形发生器依据直接数字频率合成(Direct Digial FrequencySynthesis-DDFS)原理,采用自行设计现场可编程门阵列(FPGA)的方案实现频率合成,扩展数据存储器存储波形的量化幅值(波形数据),在微控制单元(MCU)的控制与协调下输出频率和相位均可调的信号。 任意波形发生器主要由用户控制界面、DDFS模块、放大及滤波、微控制器系统和电源模块五部分组成。在设计中采用FPGA芯片EPF10K10QC208-4实现DDFS的硬件算法。波形调整及滤波由两级放大电路来完成:第一级对D/A输出信号进行调整;第二级完成信号滤波及信号幅值和偏移量的调节。电源模块利用三端集成稳压器进行电压值变换,利用极性转换芯片ICL7660实现正负极性转换。 该任意波形发生器与通用模拟信号源相比具有:输出频率误差小,分辨率高,可产生任意波形,成本低,体积小,使用方便,工作稳定等优点,十分适合汽车维修行业使用,具有较好的市场前景。

    标签: FPGA 任意波形发生器

    上传时间: 2013-04-24

    上传用户:KIM66

  • 基于FPGA的数字信号发生器

    数字信号发生器是数字信号处理中不可缺少的调试设备。在某工程项目中,为了提供特殊信号,比如雷达信号,就需要设计专用的数字信号发生器,用以达到发送雷达信号的要求。在本文中提出了使用PCI接口的专用数字信号发生器方案。 该方案的目标是能够采录雷达信号,把信号发送到主机作为信号文件存储起来,然后对这个信号文件进行航迹分离,得到需要的航迹信号文件。同时,信号发生器具有发送信号的功能,可以把不同形式的信号文件发送到检测端口,用于设备调试。 在本文中系统设计主要分为硬件和软件两个方面来介绍: 硬件部分采用了FPGA逻辑设计加上外围电路来实现的。在硬件设计中,最主要的是FPGA逻辑设计,包括9路主从SPI接口信号的逻辑控制,片外SDRAM的逻辑控制,PCI9054的逻辑控制,以及这些逻辑模块间信号的同步、发送和接收。在这个过程中信号的方向是双向的,所选用的芯片都具有双向数据的功能。 在本文中软件部分包括驱动软件和应用软件。驱动软件采用PLXSDK驱动开发,通过控制PCI总线完成数据的采录和发送。应用软件中包括数据提取和数据发送,采用卡尔曼滤波器等方法。 通过实验证明该方案完全满足数据传输的要求,达到SPI传输的速度要求,能够完成航迹提取,以及数据传输。

    标签: FPGA 数字信号发生器

    上传时间: 2013-07-03

    上传用户:xzt

  • Verilog实现的DDS正弦信号发生器和测频测相模块

    Verilog实现的DDS正弦信号发生器和测频测相模块,DDS模块可产生两路频率和相位差均可预置调整的值正弦波,频率范围为20Hz-5MHz,相位范围为0°-359°,测量的数据通过引脚传输给单片机,单片机进行计算和显示。

    标签: Verilog DDS 正弦信号发生器 模块

    上传时间: 2013-08-28

    上传用户:asdfasdfd

  • 一种DDS任意波形发生器的ROM优化方法

    提出了一种改进的基于直接频率合成技术(DDS)的任意波形发生器在现场可编程门阵列(FPGA)上的实现方法。首先将三角波、正弦波、方波和升/降锯齿波的波形数据写入片外存储器,当调用时再将相应的数据移入FPGA的片上RAM,取代分区块的将所有类型波形数据同时存储在片上RAM中的传统方法;再利用正弦波和三角波的波形在4个象限的对称性以及锯齿波的线性特性,通过硬件反相器对波形数据和寻址地址值进行处理,实现了以1/4的数据量还原出精度不变的模拟信号,从而将整体的存储量减小为原始设计方案的5%。经验证,这种改进方法正确可行,能够大大降低开发成本。

    标签: DDS ROM 任意波形发生器

    上传时间: 2013-12-25

    上传用户:日光微澜

  • 数字序列发生器课程设计

    数字序列发生器是在数字系统中每个循环周期中,1和0数码按一定的规则顺序排列产生的序列信号电路。移位寄存器中的数据可以在移位脉冲作用下一次逐位右移或左移,数据既可以并行输入、并行输出,也可以串行输入、串行输出,还可以并行输入、串行输出,串行输入、并行输出,十分灵活,用途也很广。本文给定序列循环长度为16,用户自定义输入序列,并可控制其顺序与逆序输出,利用4个74LS194移位寄存器移位输出进行设计,完成状态转移,并将最终结果显示出发光二极管上。

    标签: 数字序列 发生器

    上传时间: 2013-10-29

    上传用户:fdfadfs

  • 基于单片机和CPLD的任意波形发生器的设计

    在超声技术日益发展的今天,一个高质量的超声信号源成为各种超声产品的主动力。传统模拟超声信号源的智能化控制尚不完善,只能直接产生适当频率的电信号,用以驱动特定的超声波换能器。这对于信号源的合理利用是一个较大的弊端。本文介绍了一种采用单片机与复杂可编程逻辑器件(CPLD)相结合的方法设计的新型任意波形发生器(AWG)。其中波形合成采用了直接数字合成(DDS)技术。本系统能输出频率和幅度可调的多种标准函数波以及任意波形。信号频率范围覆盖超低频和高频,同时极大地提高了频率的分辨率和准确度,因此可以用它代替常用的模拟超声信号源。本系统采用单片机(AT89S52)对整机的输入、输出过程和波形数据采集进行控制。高速的CPLD(EPM7128S)将波形数据从存储器(AT28C256)中读出并送给波形生成DAC(AD7524)进行转换,形成所要的波形。并通过改变幅度控制DAC(DAC0832)的输入值来调节输出波形的峰值。用户通过面板上的矩阵键盘和1602液晶模块进行人机交互。串行E2PROM(AT24C02)实现了波形数据掉电保存功能。任意波形数据既可由输入的模拟信号经A/D转换后获得,也可采用具备RS-232接口的手写板直接输入。

    标签: CPLD 单片机 任意波形发生器

    上传时间: 2013-11-24

    上传用户:wvbxj