虫虫首页|资源下载|资源专辑|精品软件
登录|注册

数据发生器

  • 基于ROM的正弦波发生器的设计:1.正弦发生器由波形数据存储模块(ROM)

    基于ROM的正弦波发生器的设计:1.正弦发生器由波形数据存储模块(ROM),波形发生器控制模块及锁存模块组成 2.波形数据存储模块(ROM)定制数据宽度为8,地址宽度为6,可存储 64点正弦波形数据,用MATLAB求出波形数据。 3.将50MHz作为输入时钟。

    标签: ROM 正弦波发生器 正弦发生器 数据存储

    上传时间: 2014-01-19

    上传用户:watch100

  • 模拟温度采集监测系统子VI。该系统底层数据是由随机数发生器产生的20-40之间的随机数。 可以有华氏和摄氏两种显示模式;可以设定温度上限。

    模拟温度采集监测系统子VI。该系统底层数据是由随机数发生器产生的20-40之间的随机数。 可以有华氏和摄氏两种显示模式;可以设定温度上限。

    标签: 20 40 模拟 底层

    上传时间: 2017-04-01

    上传用户:杜莹12345

  • 实现函数发生器产生的波形能够在电脑显示器上显示。函数发生器通过GPIB卡与电脑连接并传输数据

    实现函数发生器产生的波形能够在电脑显示器上显示。函数发生器通过GPIB卡与电脑连接并传输数据,电脑上通过LABVIEW软件环境完成与GPIB卡的数据通信。在LABVIEW环境下完成了对虚拟仪器前面板以及后台程序框图的设计与编程。在LABVIEW开发环境下,实现对GPIB控制时,采用VISA模块驱动的方法进行编程。设计结果在LABVIEW环境下的虚拟示波器能够实现示波器的功能,能够显示函数发生器所产生的任意波形。

    标签: GPIB 函数发生器 传输数据 波形

    上传时间: 2013-12-09

    上传用户:helmos

  • 基于ROM的正弦波发生器的设计:使用MATLAB得到这64个波形数据

    基于ROM的正弦波发生器的设计:使用MATLAB得到这64个波形数据,将这些存数据写入一个ROM中。再输入时钟,每个上升沿依次读取一个波形数据

    标签: MATLAB ROM 正弦波发生器 数据

    上传时间: 2014-01-18

    上传用户:R50974

  • 基于FPGA函数信号发生器的设计与实现.rar

    任意波形发生器已成为现代测试领域应用最为广泛的通用仪器之一,代表了信号源的发展方向。直接数字频率合成(DDS)是二十世纪七十年代初提出的一种全数字的频率合成技术,其查表合成波形的方法可以满足产生任意波形的要求。由于现场可编程门阵列(FPGA)具有高集成度、高速度、可实现大容量存储器功能的特性,能有效地实现DDS技术,极大的提高函数发生器的性能,降低生产成本。 本文首先介绍了函数波形发生器的研究背景和DDS的理论。然后详尽地叙述了用FPGA完成DDS模块的设计过程,接着分析了整个设计中应处理的问题,根据设计原理就功能上进行了划分,将整个仪器功能划分为控制模块、外围硬件、FPGA器件三个部分来实现。最后就这三个部分分别详细地进行了阐述。 在实现过程中,本设计选用了Altera公司的EP2C35F672C6芯片作为产生波形数据的主芯片,充分利用了该芯片的超大集成性和快速性。在控制芯片上选用了三星公司的上S3C2440作为控制芯片。本设计中,FPGA芯片的设计和与控制芯片的接口设计是一个难点,本文利用Altera的设计工具QuartusⅡ并结合Verilog—HDL语言,采用硬件编程的方法很好地解决了这一问题。论文最后给出了系统的测量结果,并对误差进行了一定分析,结果表明,可输出步进为0.01Hz,频率范围0.01Hz~20MHz的正弦波、三角波、锯齿波、方波,或0.01Hz~20KHz的任意波。通过实验结果表明,本设计达到了预定的要求,并证明了采用软硬件结合,利用FPGA技术实现任意波形发生器的方法是可行的。

    标签: FPGA 函数信号发生器

    上传时间: 2013-08-03

    上传用户:1079836864

  • 基于FPGA的任意波形发生器的研究与设计.rar

    随着科学技术的飞速发展,电子测量技术被广泛应用在电子、机械、医疗、测控及航天等各个领域,而电子测量技术要用到各种形式的高质量信号源,因此任意波形发生器的研制就具有非常重要的现实意义。 本文便是基于DDS(DirectDigitalSynthesis)技术进行任意波形发生器研制的。要求可以产生正弦波、方波、三角波与锯齿波等常规波形,而且能够产生任意波形,从而满足研究的需要。具体工作如下: (一)介绍国内外关于任意波形发生器研究的发展情况,阐述频率合成技术的各种方式与技术对比情况,并选定直接数字频率合成技术进行研制。 (二)介绍系统的硬件设计构成与功能实现,并对系统部件进行逐一细述。选用单片机作为控制模块,使用FPGA实现DDS功能作为技术核心,并对外围电路的设计与接口技术进行分析。 (三)讲述DDS的工作原理、工作特点与技术指标,并基于FPGA芯片EP1C3T144C8进行设计,通过使用相位累加器与波形ROM等模块,实现DDS功能。同时辅以使能模块与行列式键盘,实现各种波形的灵活输出。 (四)给出系统产生的测试数据,并对影响频谱纯度的杂散与噪声产生的原因进行分析。

    标签: FPGA 任意波形发生器

    上传时间: 2013-04-24

    上传用户:diets

  • 基于FPGA的数字信号发生器.rar

    数字信号发生器是数字信号处理中不可缺少的调试设备。在某工程项目中,为了提供特殊信号,比如雷达信号,就需要设计专用的数字信号发生器,用以达到发送雷达信号的要求。在本文中提出了使用PCI接口的专用数字信号发生器方案。 该方案的目标是能够采录雷达信号,把信号发送到主机作为信号文件存储起来,然后对这个信号文件进行航迹分离,得到需要的航迹信号文件。同时,信号发生器具有发送信号的功能,可以把不同形式的信号文件发送到检测端口,用于设备调试。 在本文中系统设计主要分为硬件和软件两个方面来介绍: 硬件部分采用了FPGA逻辑设计加上外围电路来实现的。在硬件设计中,最主要的是FPGA逻辑设计,包括9路主从SPI接口信号的逻辑控制,片外SDRAM的逻辑控制,PCI9054的逻辑控制,以及这些逻辑模块间信号的同步、发送和接收。在这个过程中信号的方向是双向的,所选用的芯片都具有双向数据的功能。 在本文中软件部分包括驱动软件和应用软件。驱动软件采用PLXSDK驱动开发,通过控制PCI总线完成数据的采录和发送。应用软件中包括数据提取和数据发送,采用卡尔曼滤波器等方法。 通过实验证明该方案完全满足数据传输的要求,达到SPI传输的速度要求,能够完成航迹提取,以及数据传输。

    标签: FPGA 数字信号发生器

    上传时间: 2013-07-14

    上传用户:脚趾头

  • 基于FPGA的PWM发生器的研究与设计.rar

    PWM(脉冲宽度调制)是一种利用数字信号来控制模拟电路的控制技术,广泛应用于电源、电机、伺服系统、通信系统、电子控制器、功率控制等电力电子设备。PWM技术在逆变电路中的应用最为广泛,也是变频技术的核心,同时在机床,液压位置控制系统等机械装置中也发挥着重要的作用。PWM技术已经成为控制领域的一个热点,因此研究PWM发生器对于基础理论的发展和技术的改进都有十分重要的意义。 论文研究的主要内容是用任意波形作为调制信号通过特定的方法来产生所需要的PWM波形,任意波形的合成和PWM波形的生成是两个主要任务。任意波形的合成是课题设计的一个难点,也是影响系统性能的关键因素之一。论文中波形合成采用直接数字频率合成(DDS)技术来实现。DDS技术以相位为地址,通过查找离散幅度数据进行波形合成,具有输出波形相位变化连续、分辨率高、频率转换速率快的优点,而且通过设置控制字可灵活方便地改变输出频率,是目前波形合成的主流方法。 实现PWM发生器的设计方法有多种。在综合比较了单片机、DSP、ARM等常用开发工具特点的基础上,本文提出了一种以可编程逻辑器件(PLD)为主体,单片机辅助配合的设计方法。随着计算机技术和微电了技术的迅速发展,可编程逻辑器件的集成度和容量越来越大,基于PLD的设计方法正逐步成为一种主流于段,是近些年来电子系统设计的一个热点。整个系统分为模拟波形产生、单片机控制电路、FPGA内部功能模块三大部分。FPGA部分的设计是以Altera公司的Quartus Ⅱ软件为开发平台,采用VHDL语言为主要输入手段来完成内部各功能模块的设计输入、编译、仿真等调试工作,目标载体选用性价比比较高的Altera公司的CycloneⅡ系列的器件;单片机控制电路主要负责控制字的设置和显示,波形数据的接受与发送;用MATLAB软件完成仟意波形的绘制和模拟任务。 论文共分五章,详细介绍了课题的背景、PWM发生器的发展和应用以及选题的目的和意义等,论述了系统设计方案的可行性,对外围电路和FPAG内部功能模块的设计方法进行了具体说明,并对仿真结果、系统的性能、存在的问题和改进方法等进行了分析和阐述。整个设计满足PWM发生器的任务和功能要求,设计方法可行。

    标签: FPGA PWM 发生器

    上传时间: 2013-04-24

    上传用户:ommshaggar

  • 基于FPGA的DDS双通道波形发生器.rar

    直接数字频率合成(DDS)是七十年代初提出的一种新的频率合成技术,其数字结构满足了现代电子系统的许多要求,因而得到了迅速的发展。现场可编程门阵列器件(FPGA)的出现,改变了现代电子数字系统的设计方法,提供了一种全新的设计模式。本论文结合这两项技术,并利用单片机控制灵活的特点,开发了一种双通道波形发生器。在实现过程中,选用了Altera公司的EP1C6Q240C8芯片作为产生波形数据的主芯片,充分利用了该芯片的超大集成性和快速性。在控制芯片上选用ATMAL的AT89C51单片机作为控制芯片。本设计中,FPGA芯片的设计和与控制芯片的接口设计是一个难点,本文利用Altera的设计工具Quartus Ⅱ并结合Verilog-HDL语言,采用硬件编程的方法很好地解决了这一问题。 本文首先介绍了波形发生器的研究背景和DDS的理论。然后详尽地叙述了用EP1C6Q240C8完成DDS模块的设计过程,这是设计的基础。接着分析了整个设计中应处理的问题,根据设计原理就功能上进行了划分,将整个仪器功能划分为控制模块、外围硬件、FPGA器件三个部分来实现。然后就这三个部分分别详细地进行了阐述。并且通过系列实验,详细地分析了该波形发生器的功能、性能、实现和实验结果。最后,结合在设计中的一些心得体会,提出了本设计中的一些不足和改进意见。通过实验说明,本设计达到了预定的要求,并证明了采用软硬件结合,利用FPGA实现基于DDS架构的双路波形发生器是可行的。

    标签: FPGA DDS 双通道

    上传时间: 2013-06-08

    上传用户:wxhwjf

  • 基于FPGA的任意波形发生器的设计与实现.rar

    随着国民经济的发展和社会的进步,人们越来越需要便捷的交通工具,从而促进了汽车工业的发展,同时汽车发动机检测维修等相关行业也发展起来。在汽车发动机检测维修中,发动机电脑(Electronic Control.Unit-ECU)检测维修是其中最关键的部分。发动机电脑根据发动机的曲轴或凸轮轴传感器信号控制发动机的喷油、点火和排气。所以,维修发动机电脑时,必须对其施加正确的信号。目前,许多发动机的曲轴和凸轮轴传感器信号已不再是正弦波和方波等传统信号,而是多种复杂波形信号。为了能够提供这种信号,本文研究并设计了一种能够产生复杂波形的低成本任意波形发生器(Arbitrary Waveform Generator-AWG)。 本文提出的任意波形发生器依据直接数字频率合成(Direct Digial FrequencySynthesis-DDFS)原理,采用自行设计现场可编程门阵列(FPGA)的方案实现频率合成,扩展数据存储器存储波形的量化幅值(波形数据),在微控制单元(MCU)的控制与协调下输出频率和相位均可调的信号。 任意波形发生器主要由用户控制界面、DDFS模块、放大及滤波、微控制器系统和电源模块五部分组成。在设计中采用FPGA芯片EPF10K10QC208-4实现DDFS的硬件算法。波形调整及滤波由两级放大电路来完成:第一级对D/A输出信号进行调整;第二级完成信号滤波及信号幅值和偏移量的调节。电源模块利用三端集成稳压器进行电压值变换,利用极性转换芯片ICL7660实现正负极性转换。 该任意波形发生器与通用模拟信号源相比具有:输出频率误差小,分辨率高,可产生任意波形,成本低,体积小,使用方便,工作稳定等优点,十分适合汽车维修行业使用,具有较好的市场前景。

    标签: FPGA 任意波形发生器

    上传时间: 2013-05-27

    上传用户:cylnpy