虫虫首页|资源下载|资源专辑|精品软件
登录|注册

数字电子钟

  • VHDL数字控制系统设计范例

    电子工业出版社, 林明权等编著,本书在简要介绍VHDL的语法和基本逻辑电路设计技巧的基础之上,完整地给出了七个数字控制系统设计范例,此文档节选其中的第4章-电子钟,以及第5章-红绿灯交通信号系统。

    标签: VHDL 数字控制 系统设计 范例

    上传时间: 2013-06-03

    上传用户:kksuyiwen

  • 叫你设计一个多功能电子钟并仿真

    这里面介绍了多种数字集成电路的用法,和设计电子钟的具体电路图

    标签: 多功能 仿真 电子钟

    上传时间: 2013-11-20

    上传用户:xiehao13

  • 8051电子钟设计论文

    一:微电脑设计11.1:微电脑基本结构11.2:单芯片微电脑21.3:单芯片微电脑种类3二:MCS51架构介绍62.1:接脚说明62.2:内部构造图72.3:系统时序82.4:内存结构92.5:系统重制142.6:中断结构15三:LCD简介243.1:简介243.2:内部结构263.3:模块指令29图1-1 微电脑基本结构1表1-1 MCS-51 单芯片比较.5图2-1 MCS-51 接脚图.6图2-2 内部结构方块图8图2-3 MCS-51 指令执行时序.9图2-4 MCS-51 内部数据存储器.10图2-5 MCS-51 程序内存结构图.10图2-6 MCS-51内部数据存储器结构11图2-7 特殊功能缓存器12表2-1 特殊功能缓存器(SFC)初值设定.13图2-8 数据存储器结构图13表2-2 SFR重置设定值.15表2-3 中断向量17图2-9 中断结构方块图18表2-4 中断致能缓存器IE19表2-5 中断优先权缓存器(IP) .20表2-6 中断源优先权顺序21表2-7 计时/计数控制缓存器TCON.21表2-8 计时/计数模式设定.23图3-1 LCD 的接口电路方图24表3-1 LCD 接脚说明25表3-2 控制脚功能25表3-3 LCD 模块地址对映26表3-4 字符产生器与字型码对映27表3-5 LCD 内字型表28表3-6 LCD 控制指令表32图3-2 初始化流程图33表4-1 功能说明34图4-1 电路图35图4-2 程序流程图36此篇专题主要研究是利用8051芯片制作出电子钟,利用LCD当作显示介面,并且设置有闹铃功能,是很可以融入生活的小家电。关键词: AT89C51,LCD,电子锺,数字钟,闹铃。四:电子钟344.1:相关知识344.2:功能说明344.3`:流程图36五:心得感想41六:程序代码42附录:MCS51指令集.54参考数据60

    标签: 8051 电子钟 论文

    上传时间: 2013-10-11

    上传用户:butterfly2013

  • 多功能数字钟电路图

    多功能数字钟, 自从它发明的那天起,就成为人类的朋友,但随着时间的推移,人们对它的功能又提出了新的要求,怎样让时钟更好的为人民服务,怎样让我们的老朋友焕发青春呢?这就要求人们不断设计出新型时钟。本方案设计的多功能电子钟除了传统的显示时间功能之外还可以测试温度、电网频率、电压、并提供了过压报警、非接触止闹等功能。其中温度采用AD590温度传感器电路测得,非接触止闹则采用红外控制技术实现。

    标签: 多功能 数字 钟电路

    上传时间: 2014-12-27

    上传用户:elinuxzj

  • 遥控数字钟应用程序

    遥控数字钟应用程序,应用于多种数字钟接口,可以遥控设备,省力。自制LED电子钟在很多电子报刊杂志上都可以见到,但大多数在断电后都要重新设置时间等 参数,给使用带来很多不便。也有用后备电池作为备用电源的,但往往体积较大。本文介绍 的LED电子钟克服了以往的弊端,而且采用了家电通用的红外遥控器进行控制,方便使用。 有一路闹铃输出,可以通过遥控器设置闹铃时间及允许与否。

    标签: 遥控 数字 应用程序

    上传时间: 2015-04-01

    上传用户:ghostparker

  • 【经典设计】VHDL源代码下载~~ 其中经典的设计有:【自动售货机】、【电子钟】、【红绿灯交通信号系统】、【步进电机定位控制系统】、【直流电机速度控制系统】、【计算器】、【点阵列LED显示控制系统】

    【经典设计】VHDL源代码下载~~ 其中经典的设计有:【自动售货机】、【电子钟】、【红绿灯交通信号系统】、【步进电机定位控制系统】、【直流电机速度控制系统】、【计算器】、【点阵列LED显示控制系统】 基本数字逻辑设计有:【锁存器】、【多路选择器】、【三态门】、【双向输入|输出端口】、【内部(缓冲)信号】、【编码转换】、【加法器】、【编码器/译码器】、【4位乘法器】、【只读存储器】、【RSFF触发器】、【DFF触发器】、【JKFF触发器】、【计数器】、【分频器】、【寄存器】、【状态机】

    标签: VHDL LED 源代码

    上传时间: 2015-06-16

    上传用户:chenxichenyue

  • 用Altera公司的QuartusII编写的电子钟程序

    用Altera公司的QuartusII编写的电子钟程序,可以下载至开发板,实现一个智能数字钟功能,计时,校时,闹钟,跑表等功能,也可用于学习verilog HDL语言与数字逻辑

    标签: QuartusII Altera 编写 电子钟

    上传时间: 2013-12-20

    上传用户:13517191407

  • 多功能数字钟的设计。要求:使用单片机实现智能数字钟

    多功能数字钟的设计。要求:使用单片机实现智能数字钟,应该具有以下功能: 1,能动态显示年月日、时分秒(用LCD液晶显示),误差小于±10%; 2,具有闹钟功能; 3,重要事件提醒功能; 4,液晶显示具有反显选择功能。 摘 要 多功能数字钟在电子产品的研发和制造中占有很重要的位置,其主要功能在于能动态显示时间,并且具有闹钟和重要事件提醒等多种功能,用途广泛,意义深远。本次课程设计规定使用单片机制作一个简易的多功能电子钟。使用AT89S51单片机编程产生数字信号,以及驱动程序完成对LCD1602的显示功能。同时将数字信号通过放大电路放大,驱动蜂鸣器产生报警。通过按键控制开关可对时钟时间进行调整以及对报警时刻的设置等。

    标签: 多功能 数字 用单片机 智能数字

    上传时间: 2014-01-05

    上传用户:frank1234

  • 数字系统设计报告

    数字系统设计报告,多功能电子钟,显示年月日星期时分秒,及校时等功能

    标签: 数字系统设计 报告

    上传时间: 2013-12-30

    上传用户:离殇

  • 凌阳子程序大全+++趣味语音识别程序+++61板自检程序+++语音电子钟程序+++语音识别且识别过程在图形液晶显示模组LCD上显示实验+++复读机程序+++数据传送指令演示程序+++冒泡排序程序+++

    凌阳子程序大全+++趣味语音识别程序+++61板自检程序+++语音电子钟程序+++语音识别且识别过程在图形液晶显示模组LCD上显示实验+++复读机程序+++数据传送指令演示程序+++冒泡排序程序+++LED键盘模组自检程序+++led单向循环流水灯程序+++点亮IOA口外接LED键盘模组的不同的led来显示按键输入值+++外部中断实验+++触键唤醒实验+++IRQ5,4,6时基信号中断实验+++定时器实验+++定时器A/B的irq0、irq1和irq2中断实验+++双路DAC实验+++ADC转换Line_in实验+++MIC一路录音实验+++32Kflash读写实验+++SACM_A2000-s480-s240自动播放语音,语音录放程序+++0~3.3V电压表(电压显示在数码管上)++++SPCE061A的通用异步串行接口UART实验+++利用DS18B20测量温度,并将温度显示在数码管上+++LCM1602字符液晶显示程序+++图形液晶模组SPLC501字符/汉字/动态图形/几何图形显示实验+++数字录音笔程序++++

    标签: 程序 LCD 语音识别 61

    上传时间: 2017-04-02

    上传用户:qunquan