虫虫首页|资源下载|资源专辑|精品软件
登录|注册

数字<b>频率合成器</b>

  • 毫米波低相噪捷变频高分辨率雷达频率源设计

    设计了一种由直接数字频率合成(DDS)、倍频链构成的三次变频直接频率合成方案,实现了低相噪捷变频高分辨率毫米波雷达频率合成器设计。利用直接频率合成器的倍频输出取代传统三次变频毫米波频率源的锁相环(PLL),同时提供线性调频(LFM)信号,优化DDS和变频方案的频率配置关系。利用FPGA电路进行高速控制,较好地解决了毫米波频率合成器各技术指标之间的矛盾。实测结果表明,采用该方案的毫米波频率合成器在本振跳频带宽为160 MHz时,线性调频频率分辨率可达0.931 Hz,最大频率转换时间小于2 ?滋s,最大杂散低于-60 dBc,相位噪声优于-90 dBc/Hz。

    标签: 毫米波 捷变 高分辨率 雷达

    上传时间: 2014-01-05

    上传用户:brain kung

  • 数字运算

    数字运算,判断一个数是否接近素数 A Niven number is a number such that the sum of its digits divides itself. For example, 111 is a Niven number because the sum of its digits is 3, which divides 111. We can also specify a number in another base b, and a number in base b is a Niven number if the sum of its digits divides its value. Given b (2 <= b <= 10) and a number in base b, determine whether it is a Niven number or not. Input Each line of input contains the base b, followed by a string of digits representing a positive integer in that base. There are no leading zeroes. The input is terminated by a line consisting of 0 alone. Output For each case, print "yes" on a line if the given number is a Niven number, and "no" otherwise. Sample Input 10 111 2 110 10 123 6 1000 8 2314 0 Sample Output yes yes no yes no

    标签: 数字 运算

    上传时间: 2015-05-21

    上传用户:daguda

  • 锁相与频率合成技术

    锁相与频率合成技术,庄卉等编著。讲述模拟和数字锁相环及频率合成器的理论、组成、测试和设计。

    标签: 锁相 频率合成技术

    上传时间: 2015-09-03

    上传用户:气温达上千万的

  • 猜数字游戏 游戏说明: 1、游戏开始

    猜数字游戏 游戏说明: 1、游戏开始,电脑随机产生一个数字不重复的四位数。 2、将您猜的数点击OK按钮提交。 3、电脑会将您提交的数与它自动产生的数进行比较,结果显示成"*A*B"。A代表位置正确数字也正确,B代表数字 正确但位置不正确,比如:"2A2B"表示您有2个数字的位置正确且数值也正确,除此以外,您还猜对了2个数字 ,但位置不对。 4、您共有10次机会,在10次内,如果结果为“4A0B”,游戏成功。如果10次里您都没有猜对游戏失败。

    标签: 数字

    上传时间: 2013-12-14

    上传用户:zhouli

  • 1.有三根杆子A,B,C。A杆上有若干碟子 2.每次移动一块碟子,小的只能叠在大的上面 3.把所有碟子从A杆全部移到C杆上 经过研究发现

    1.有三根杆子A,B,C。A杆上有若干碟子 2.每次移动一块碟子,小的只能叠在大的上面 3.把所有碟子从A杆全部移到C杆上 经过研究发现,汉诺塔的破解很简单,就是按照移动规则向一个方向移动金片: 如3阶汉诺塔的移动:A→C,A→B,C→B,A→C,B→A,B→C,A→C 此外,汉诺塔问题也是程序设计中的经典递归问题

    标签: 移动 发现

    上传时间: 2016-07-25

    上传用户:gxrui1991

  • 给定两个集合A、B

    给定两个集合A、B,集合内的任一元素x满足1 ≤ x ≤ 109,并且每个集合的元素个数不大于105。我们希望求出A、B之间的关系。 任 务 :给定两个集合的描述,判断它们满足下列关系的哪一种: A是B的一个真子集,输出“A is a proper subset of B” B是A的一个真子集,输出“B is a proper subset of A” A和B是同一个集合,输出“A equals B” A和B的交集为空,输出“A and B are disjoint” 上述情况都不是,输出“I m confused!”

    标签:

    上传时间: 2017-03-15

    上传用户:yulg

  • 频率合成技术在现代电子技术中具有重要的地位。在通信、雷达和导航等设备中

    频率合成技术在现代电子技术中具有重要的地位。在通信、雷达和导航等设备中,它可以作为干扰信号发生器;在测试设备中,可作为标准信号源,因此频率合成器被人们称为许多电子系统的“心脏”。直接数字频率合成(DDS——Digital Direct Frequency Synthesis)技术是一种全新的频率合成方法,是频率合成技术的一次革命。本文主要分析了DDS的基本原理及其输出频谱特点,并采用VHDL语言在FPGA上实现。对于DDS的输出频谱,一个较大的缺点是:输出杂散较大。针对这一缺点本文使用了两个方法加以解决。首先是压缩ROM查找表,

    标签: 频率合成技术 现代电子 导航 通信

    上传时间: 2017-09-27

    上传用户:大三三

  • VK3604A/B小体积蓝牙音箱4键触摸触控检测芯片多种输出方式选择:锁存/直接输出

    产品型号:VK3604A 产品品牌:VINKA/永嘉微电 封装形式:SOP16 产品年份:新年份 联 系 人:陈锐鸿 Q Q:361 888 5898 联系手机:188 2466 2436(信) 概述: VK3604/VK3604A具有4个触摸按键,可用来检测外部触摸按键上人手的触摸动作。该芯片具有较高的 集成度,仅需极少的外部组件便可实现触摸按键的检测。 提供了4路输出功能,可通过IO脚选择输出电平,输出模式,输出脚结构,单键/多键和最 长输出时间。芯片内部采用特殊的集成电路,具有高电源电压抑制比,可减少按键检测错误的 发生,此特性保证在不利环境条件的应用中芯片仍具有很高的可靠性。 此触摸芯片具有自动校准功能,低待机电流,抗电压波动等特性,为各种触摸按键+IO输 出的应用提供了一种简单而又有效的实现方法。 特点: • 工作电压 2.4-5.5V • 待机电流7uA/3.3V,14uA/5V • 上电复位功能(POR) • 低压复位功能(LVR)  • 触摸输出响应时间:工作模式 48mS ,待机模式160mS • 通过AHLB脚选择输出电平:高电平有效或者低电平有效 • 通过TOG脚选择输出模式:直接输出或者锁存输出 • 通过SOD脚选择输出方式:CMOS输出或者开漏输出 • 通过SM脚选择输出:多键有效或者单键有效 • 通过MOT脚有效键最长输出时间:无穷大或者16S • 通过CS脚接对地电容调节整体灵敏度(1-47nF)  • 各触摸通道单独接对地小电容微调灵敏度(0-50pF) • 上电0.25S内为稳定时间,禁止触摸 • 上电后4S内自校准周期为64mS,4S无触摸后自校准周期为1S • 封装SOP16(150mil)(9.9mm x 3.9mm PP=1.27mm) ———————————————— 产品型号:VK3604B 产品品牌:VINKA/永嘉微电 封装形式:TSSOP16 产品年份:新年份 联 系 人:陈锐鸿 1.概述 VK3604B具有4个触摸按键,可用来检测外部触摸按键上人手的触摸动作。该芯片具有 较高的集成度,仅需极少的外部组件便可实现触摸按键的检测。 提供了4路直接输出功能。芯片内部采用特殊的集成电路,具有高电源电压抑制比,可 减少按键检测错误的发生,此特性保证在不利环境条件的应用中芯片仍具有很高的可靠性。 此触摸芯片具有自动校准功能,低待机电流,抗电压波动等特性,为各种触摸按键+IO 输出的应用提供了一种简单而又有效的实现方法。   特点  • 工作电压 2.4-5.5V • 待机电流7uA/3.3V,14uA/5V • 上电复位功能(POR) • 低压复位功能(LVR)  • 触摸输出响应时间:  工作模式 48mS 待机模式160mS • CMOS输出,低电平有效,支持多键  • 有效键最长输出16S • 无触摸4S自动校准  • 专用脚接对地电容调节灵敏度(1-47nF)  • 各触摸通道单独接对地小电容微调灵敏度(0-50pF). • 上电0.25S内为稳定时间,禁止触摸. • 封装 TSSOP16L(4.9mm x 3.9mm PP=1.00mm) KPP841 标准触控IC-电池供电系列: VKD223EB --- 工作电压/电流:2.0V-5.5V/5uA-3V   感应通道数:1    通讯界面  最长回应时间快速模式60mS,低功耗模式220ms    封装:SOT23-6 VKD223B ---  工作电压/电流:2.0V-5.5V/5uA-3V   感应通道数:1    通讯界面   最长回应时间快速模式60mS,低功耗模式220ms    封装:SOT23-6 VKD233DB --- 工作电压/电流:2.4V-5.5V/2.5uA-3V  1感应按键  封装:SOT23-6   通讯界面:直接输出,锁存(toggle)输出  低功耗模式电流2.5uA-3V VKD233DH ---工作电压/电流:2.4V-5.5V/2.5uA-3V  1感应按键  封装:SOT23-6  通讯界面:直接输出,锁存(toggle)输出  有效键最长时间检测16S VKD233DS --- 工作电压/电流:2.4V-5.5V/2.5uA-3V  1感应按键  封装:DFN6(2*2超小封装) 通讯界面:直接输出,锁存(toggle)输出  低功耗模式电流2.5uA-3V VKD233DR --- 工作电压/电流:2.4V-5.5V/1.5uA-3V  1感应按键  封装:DFN6(2*2超小封装) 通讯界面:直接输出,锁存(toggle)输出  低功耗模式电流1.5uA-3V VKD233DG --- 工作电压/电流:2.4V-5.5V/2.5uA-3V  1感应按键  封装:DFN6(2*2超小封装) 通讯界面:直接输出,锁存(toggle)输出   低功耗模式电流2.5uA-3V  VKD233DQ --- 工作电压/电流:2.4V-5.5V/5uA-3V  1感应按键  封装:SOT23-6 通讯界面:直接输出,锁存(toggle)输出    低功耗模式电流5uA-3V  VKD233DM --- 工作电压/电流:2.4V-5.5V/5uA-3V  1感应按键  封装:SOT23-6 (开漏输出) 通讯界面:开漏输出,锁存(toggle)输出    低功耗模式电流5uA-3V  VKD232C  --- 工作电压/电流:2.4V-5.5V/2.5uA-3V   感应通道数:2  封装:SOT23-6   通讯界面:直接输出,低电平有效  固定为多键输出模式,内建稳压电路 MTP触摸IC——VK36N系列抗电源辐射及手机干扰: VK3601L  --- 工作电压/电流:2.4V-5.5V/4UA-3V3  感应通道数:1  1对1直接输出 待机电流小,抗电源及手机干扰,可通过CAP调节灵敏  封装:SOT23-6 VK36N1D --- 工作电压/电流:2.2V-5.5V/7UA-3V3  感应通道数:1  1对1直接输出 触摸积水仍可操作,抗电源及手机干扰,可通过CAP调节灵敏封装:SOT23-6 VK36N2P --- 工作电压/电流:2.2V-5.5V/7UA-3V3  感应通道数:2    脉冲输出 触摸积水仍可操作,抗电源及手机干扰,可通过CAP调节灵敏封装:SOT23-6 VK3602XS ---工作电压/电流:2.4V-5.5V/60UA-3V  感应通道数:2  2对2锁存输出 低功耗模式电流8uA-3V,抗电源辐射干扰,宽供电电压   封装:SOP8 VK3602K --- 工作电压/电流:2.4V-5.5V/60UA-3V   感应通道数:2   2对2直接输出 低功耗模式电流8uA-3V,抗电源辐射干扰,宽供电电压   封装:SOP8 VK36N2D --- 工作电压/电流:2.2V-5.5V/7UA-3V3  感应通道数:2   1对1直接输出 触摸积水仍可操作,抗电源及手机干扰,可通过CAP调节灵敏封装:SOP8 VK36N3BT ---工作电压/电流:2.2V-5.5V/7UA-3V3  感应通道数:3  BCD码锁存输出 触摸积水仍可操作,抗电源及手机干扰,可通过CAP调节灵敏  封装:SOP8 VK36N3BD ---工作电压/电流:2.2V-5.5V/7UA-3V3  感应通道数:3  BCD码直接输出 触摸积水仍可操作,抗电源及手机干扰,可通过CAP调节灵敏  封装:SOP8 VK36N3BO ---工作电压/电流:2.2V-5.5V/7UA-3V3  感应通道数:3  BCD码开漏输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP8/DFN8(超小超薄体积) VK36N3D --- 工作电压/电流:2.2V-5.5V/7UA-3V3  感应通道数:3  1对1直接输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N4B ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:4    BCD输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N4I---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:4    I2C输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N5D ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:5   1对1直接输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N5B ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:5    BCD输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N5I ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:5    I2C输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N6D --- 工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:6   1对1直接输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N6B ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:6    BCD输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N6I ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:6    I2C输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N7B ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:7    BCD输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N7I ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:7    I2C输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N8B ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:8    BCD输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N8I ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:8    I2C输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N9I ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:9    I2C输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N10I ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:10    I2C输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) 1-8点高灵敏度液体水位检测IC——VK36W系列 VK36W1D  ---工作电压/电流:2.2V-5.5V/10UA-3V3  1对1直接输出  水位检测通道:1 可用于不同壁厚和不同水质水位检测,抗电源/手机干扰封装:SOT23-6 备注:1. 开漏输出低电平有效  2、适合需要抗干扰性好的应用 VK36W2D  ---工作电压/电流:2.2V-5.5V/10UA-3V3  1对1直接输出  水位检测通道:2 可用于不同壁厚和不同水质水位检测,抗电源/手机干扰封装:SOP8 备注:1.  1对1直接输出   2、输出模式/输出电平可通过IO选择 VK36W4D  ---工作电压/电流:2.2V-5.5V/10UA-3V3  1对1直接输出  水位检测通道:4 可用于不同壁厚和不同水质水位检测,抗电源/手机干扰封装:SOP16/DFN16 备注:1.  1对1直接输出   2、输出模式/输出电平可通过IO选择 VK36W6D  ---工作电压/电流:2.2V-5.5V/10UA-3V3  1对1直接输出  水位检测通道:6 可用于不同壁厚和不同水质水位检测,抗电源/手机干扰封装:SOP16/DFN16 备注:1.  1对1直接输出    2、输出模式/输出电平可通过IO选择 VK36W8I  ---工作电压/电流:2.2V-5.5V/10UA-3V3  I2C输出    水位检测通道:8 可用于不同壁厚和不同水质水位检测,抗电源/手机干扰封装:SOP16/DFN16 备注:1.  IIC+INT输出     2、输出模式/输出电平可通过IO选择  KPP841

    标签: 3604 输出 VK 体积 蓝牙音箱 检测 方式 芯片 触控 锁存

    上传时间: 2022-04-11

    上传用户:shubashushi66

  • 中文版-数字信号处理的FPGA实现(第4版)

    1.1  数字信号处理技术概述  1.2  FPGA技术    1.2.1  按颗粒度分类    1.2.2  按技术分类    1.2.3  FPL的基准  1.3  DSP的技术要求  1.4  设计实现    1.4.1  FPGA的结构    1.4.2  Altera EP4CE115F29C7    1.4.3  案例研究:频率合成器    1.4.4  用知识产权内核进行设计  1.5  练习第2章  计算机算法  2.1  计算机算法概述  2.2  数字表示法    2.2.1  定点数    2.2.2  非传统定点数    2.2.3  浮点数  2.3  二进制加法器    2.3.1  流水线加法器    2.3.2  模加法器  2.4  二进制乘法器  2.5  二进制除法器    2.5.1  线性收敛的除法算法    2.5.2  快速除法器的设计    2.5.3  阵列除法器  2.6  定点算法的实现  2.7  浮点算法的实现    2.7.1  定点数到浮点数的格式转换    2.7.2  浮点数到定点数的格式转换    2.7.3  浮点数乘法    2.7.4  浮点数加法    2.7.5  浮点数除法    2.7.6  浮点数倒数    2.7.7  浮点操作集成    2.7.8  浮点数合成结果  2.8  MAC与SOP    2.8.1  分布式算法基础    2.8.2  有符号的DA系统    2.8.3  改进的DA解决方案  2.9  利用CORDIC计算特殊函数  2.10  用MAC调用计算特殊函数    2.10.1  切比雪夫逼近    2.10.2  三角函数的逼近    2.10.3  指数函数和对数函数的逼近    2.10.4  平方根函数的逼近  2.11  快速幅度逼近  练习第3章  FIR数字滤波器  3.1  数字滤波器概述  3.2  FIR理论    3.2.1  具有转置结构的FIR滤波器    3.2.2  FIR滤波器的对称性……第4章  IIR数字滤波器第5章  多级信号处理第6章  傅立叶变换第7章  通信系统第8章  自适应系统第9章  微处理器设计**0章  图像和视频处理

    标签: fpga 数字信号处理

    上传时间: 2022-06-10

    上传用户:kid1423

  • VIP专区-嵌入式/单片机编程源码精选合集系列(111)

    VIP专区-嵌入式/单片机编程源码精选合集系列(111)资源包含以下内容:1. LCD显示的电子时钟.2. 嵌入式实时视频监控系统的实现源码。很经典的程序.3. 用DS18B20测温度.4. MSP430F149单片机驱动CC1000进行无线收发的一个示例程序,这是个让CC1000工作在半双工模式的程序.5. 本程序实现matlab中的信号添加noise,环境是802.11a.6. I2C从机实验.7. 计算个人所得税 GUI界面.8. 很好的嵌入式开发的电子文档.9. vi config and guide for c programmer quick guide and config.10. Holtek,I2C communication.11. 几个LEDLCD相关驱动程序的汇总 嵌入式开发参考.12. 函数发生器集成芯片max038资料.13. 芯片ULN2003的介绍资料.14. 基于超图sne开发的一个详细的例子。提供查询.15. 一些常用芯片资料.16. PIC单片机控制PLL频率合成器MC145170应用源码..17. VC++用ActiveX控件与单片机进行RS232串行通信..18. 嵌入式C_C++语言精华文章集.19. psi/si相关内容.20. 这是一个很有用的PCB板.21. CAYMAN板硬件设备的一些资料.22. nand flash 的驱动部分.23. 凌阳单片机在全国大学生电子设计竞赛中的应用.24. 嵌入式开发首先要建立交叉编译环境.25. 一种基于CPLD 的PWM控制电路设计.26. 路由器命令大全手册教程 一本讲的很全的电子书.27. AT24C02页写模式存取源码.28. 这是一个关于晶震的一个verilog 源代码,希望对新手有用.29. I2C的驱动和应用.30. zigbee入门极为经典的介绍.31. C_C++嵌入式系统编程,很好的c语言学习资料啊.32. 一个基于ATMEGA128的TWI(I2C)EEPROM-24c01的程序.33. 某售货员要到若干城市去推销商品.34. music 音乐电路.35. 应用matlab的GUI功能设计用户图形界面的一些例子..36. 提 出了一种 网络 化嵌 入 式 数 控 系统.37. 基于U(375)盘及SD(SPI模式)卡集成文件系统(包括底层驱动).38. 将输入的货号转化为压缩的BCD格式.如果长度不满14,则以右对齐.39. 基于51类单片机RTX51多任务系统.40. sasung s3c2410a english datasheet!.

    标签: 调制解调器

    上传时间: 2013-05-28

    上传用户:eeworm