虫虫首页|资源下载|资源专辑|精品软件
登录|注册

数字<b>视频信号</b>

  • 安富莱_STM32-V5开发板_数字信号处理教程(V1.0).pdf

    数字信号处理(DigitalSignal Processing,简称 DSP)是一门涉及许多学科而又广泛应用于许多领 域的新兴学科。20 世纪 60 年代以来,随着计算机和信息技术的飞速发展,数字信号处理技术应运而生并 得到迅速的发展。在过去的二十多年时间里,数字信号处理已经在通信等领域得到极为广泛的应用。 数字信号处理是利用计算机或专用处理设备,以数字形式对信号进行采集、变换、滤波、估值、增强、 压缩、识别等处理,以得到符合人们需要的信号形式。

    标签: stm32 数字信号处理

    上传时间: 2022-07-03

    上传用户:蓝天小雨

  • 单片机函数信号发生器(51单片机)

    本文介绍一种用AT89C51单片机构成的波形发生器,可产生方波、三角波、正弦波、锯齿波等多种波形,波形的周期可用程序改变,并可根据需要选择单极性输出或双极性输出,具有线路简单、结构紧凑、性能优越等特点。文章给出了源代码,通过仿真测试,其性能指标达到了设计要求。关键词:单片机;DAG信号发生器信号发生器应用广泛,种类繁多,性能各异,分类也不尽一致。按照频率范围分类可以分为:超低频信号发生器、低频信号发生器、视频信号发生器、高频波形发生器、甚高频波形发生器和超高频信号发生器。按照输出波形分类可以分为:正弦信号发生器和非正弦信号发生器,非正弦信号发生器又包括:脉冲信号发生器,函数信号发生器、扫频信号发生器、数字序列波形发生器、图形信号发生器、噪声信号发生器等。按照信号发生器性能指标可以分为一般信号发生器和标准信号发生器。前者指对输出信号的频率、幅度的准确度和稳定度以及波形失真等要求不高的一类信号发生器。后者是指其输出信号的频率、幅度、调制系数等在一定范围内连续可调,并且读数准确、稳定、屏蔽良好的中、高档信号发生器。

    标签: 函数信号发生器 51单片机

    上传时间: 2022-07-22

    上传用户:wangshoupeng199

  • VIP专区-嵌入式/单片机编程源码精选合集系列(83)

    VIP专区-嵌入式/单片机编程源码精选合集系列(83)资源包含以下内容:1. TI MSP430 I2C模块实现 日历时钟系统设计方案的源码 全部代码.2. 基于TI MSP430 的SmartMedia卡的本地存储系统源码.3. Altera CycloneIII_Starter_Kit 开发板原理图.4. 嵌入式程序.5. 飞利普ARM2132电路原理图及PCB图,protel99格式。.6. 在Quartus下使用D触发器来加入延迟.7. USB转并口 含有PCB和原理图 速度已经有所改善.8. Jennic ZigBee中文开发指南.9. cs8900网卡在嵌入式系统中的驱动,网上很少有此网卡驱动的源代码,并且cs8900的datasheet写的非常乱,这个网卡驱动是我用了快2个星期弄出来的,分享给大家,希望大家少走弯路..10. 本人购买的嵌入式系统开发板里面带的光盘资料,非常有用的实时操作系统,源代码开发..11. 嵌入式系统开发.在S3C44B0X处理器下的一个相当于pc电脑的BIOS,主要实现系统启动以及初始化功能.非常底层的代码..12. 杭州立宇泰的s3c2410开发板的USB启动代码,里有usb驱动.可降低usb开发的难度..13. 串口阿啊啊 啊啊几个拉开觉得福阿德司法阿斯顿金卡速度fiao].14. TI公司的AD8361的VHDL控制程序.15. ST71x以太网测试程序.开发环境:ads. 连好网线,在windows下.16. 液晶FM12864-1驱动程序.17. Maxim实时时钟芯片DS1302驱动程序.18. ADI芯片AD7705驱动程序.19. GM8125芯片的驱动程序.20. 新型网络芯片enc28j60驱动程序.21. 北京合众达电子技术有限责任公司用于DSP图像处理程序设计文献.22. 基于fpga和sopc的用VHDL语言编写的EDA含异步清0和同步时钟使能的加法计数器.23. 基于fpga和sopc的用VHDL语言编写的EDA7段数码显示译码器.24. 基于fpga和sopc的用VHDL语言编写的EDA8段数码显示译码器.25. 基于fpga和sopc的用VHDL语言编写的EDA数控分频器.26. 基于fpga和sopc的用VHDL语言编写的EDA正弦信号发生器.27. 基于fpga和sopc的用VHDL语言编写的EDA8位16进制频率计.28. 基于fpga和sopc的用VHDL语言编写的EDA序列检测器.29. 基于fpga和sopc的用VHDL语言编写的EDA的ADC0809采样控制电路.30. 基于fpga和sopc的用VHDL语言编写的EDA数据采集电路和简易存储示波器.31. 基于fpga和sopc的用VHDL语言编写的EDA比较器和D/A器件实现.32. 基于fpga和sopc的用VHDL语言编写的EDA移位相加硬件乘法器.33. 基于fpga和sopc的用VHDL语言编写的EDA乐曲硬件演奏电路.34. 基于fpga和sopc的用VHDL语言编写的EDA乒乓球游戏电路.35. 基于fpga和sopc的用VHDL语言编写的EDA等精度频率设计.36. 基于fpga和sopc的用VHDL语言编写的EDA采样高速A/D的存储示波器.37. 基于fpga和sopc的用VHDL语言编写的EDA信号采集与频谱分析电路.38. 基于fpga和sopc的用VHDL语言编写的EDA的DDS信号发生器.39. 基于fpga和sopc的用VHDL语言编写的EDA数字移相信号发生器.40. 基于fpga和sopc的用VHDL语言编写的EDA的PS/2鼠标键盘控制模块.

    标签:

    上传时间: 2013-04-15

    上传用户:eeworm

  • H264帧间预测算法研究与FPGA设计.rar

    随着数字化技术的飞速发展,数字视频信号的传输技术更是受到人们的关注。相比较其它类型的信息传输如文本和数据,视频通信需要占用更多的带宽资源,因此为了实现在带宽受限的条件下的传输,视频源必须经过大量压缩。尽管现在的网络状况不断地改善,但相对与快速增长的视频业务而言,网络带宽资源仍然是远远不够的。2003年3月,新一代视频压缩标准H.264/AVC的推出,使视频压缩研究进入了一个新的层次。H.264标准中包含了很多先进的视频压缩编码方法,与以前的视频编码标准相比具有明显的进步。在相同视觉感知质量的情况下,H.264的编码效率比H.263提高了一倍左右,并且有更好的网络友好性。然而,高编码压缩率是以很高的计算复杂度为代价的,H.264标准的计算复杂度约为H.263的3倍,所以在实际应用中必须对其算法进行优化以减低其计算复杂度。 @@ 本文首先介绍了H.264标准的研究背景,分析了国内外H.264硬件系统的研究现状,并介绍了本文的主要工作。 @@ 接着对H.264编码标准的理论知识、关键技术分别进行了介绍。 @@ 对H.264块匹配运动估计算法进行研究,对经典的块匹配运动估计算法通过对比分析,三步、二维等算法在搜索效率上优于全搜索算法,而全搜索算法在数据流的规则性和均匀性有着自己的优越性。 @@ 针对块匹配运动估计全搜索算法的VLSI结构的特点,提出改进的块匹配运动估计全搜索算法。本文基于对数据流的分析,对硬件寻址进行了研究。通过一次完整的全搜索数据流分析,改进的块匹配运动估计算法在时钟周期、PE资源消耗方面得到优化。 @@ 最后基于FPGA平台对整像素运动估计模块进行了研究。首先对运动估计模块结构进行了功能子模块划分;然后对每个子模块进行设计和仿真和对整个运动估计模块进行联合仿真验证。 @@关键词:H.264;FPGA;QuartusⅡ;帧间预测;运动估计;块匹配

    标签: H264 FPGA 帧间预测

    上传时间: 2013-04-24

    上传用户:zttztt2005

  • 基于FPGA的视频图像分析.rar

    对弓网故障的检测是当今列车检测的一项重要任务。原始故障视频图像具有极大的数据量,使实时存储和传输故障视频图像极其困难。由于视频的数据量相当大,需要采用先进的视频编解码协议进行处理,进而实现检测现场的实时监控。 @@ H.264/AVC(Advanced Video Coding)作为MPEG-4的第10部分,因其具有超高的压缩效率、极好的网络亲和性,而被广泛研究与应用。H.264/AVC采用了先进的算法,主要有整数变换、1/4像素精度插值、多模式帧间预测、抗块效应滤波器和熵编码等。 @@ 本文使用硬件描述语言Verilog,以红色飓风 II开发板作为硬件平台,在开发工具QUARTUSII 6.0和MODELSIM_SE 6.1B环境中完成软核的设计与仿真验证。以Altera公司的CycloneII FPGA(Field Programmable Gate Array)EP2C35F484C8作为核心芯片,实现视频图像采集、存储、显示以及实现H.264/AVC部分算法的基本系统。 @@ FPGA以其设计灵活、高速、具有丰富的布线资源等特性,逐渐成为许多系统设计的首选,尤其是与Verilog和VHDL等语言的结合,大大变革了电子系统的设计方法,加速了系统的设计进程。 @@ 本文首先分析了FPGA的特点、设计流程、verilog语言等,然后对静态图像及视频图像的编解码进行详细的分析,比如H.264/AVC中的变换、量化、熵编码等:并以JM10.2为平台,运用H.264/AVC算法对视频序列进行大量的实验,对不同分辨率、量化步长、视频序列进行编解码以及对结果进行分析。接着以红色飓风II开发板为平台,进行视频图像的采集存储、显示分析,其中详细分析了SAA7113的配置、CCD信号的A/D转换、I2C总线、视频的数字化ITU-R BT.601标准介绍及视频同步信号的获取、基于SDRAM的视频帧存储、VGA显示控制设计;最后运用verilog语言实现H.264/AVC部分算法,并进行功能仿真,得到预计的效果。 @@ 本文实现了整个视频信号的采集存储、显示流程,详细研究了H.264/AVC算法,并运用硬件语言实现了部分算法,对视频编解码芯片的设计具有一定的参考价值。 @@关键词:FPGA;H.264/AVC;视频;verilog;编解码

    标签: FPGA 视频 图像分析

    上传时间: 2013-04-24

    上传用户:啦啦啦啦啦啦啦

  • 基于FPGA的HDMI显示系统的设计与实现.rar

    伴随着多媒体显示和传输技术的发展,人们获得了越来越高的视听享受。从传统的模拟电视,到标清、高清、全高清。与显示技术发展结伴而行的是显示接口技术的发展,从模拟的AV端子,S-Video和VGA接口,到数字显示的DVI接口,技术上经历了一个从模拟到数字,从并行到串行,从低速到高速的发展过程。 HDMI是最新的高清晰度多媒体接口,它的规范由Silicon Image等七家公司提出,具有带宽大,尺寸小,传输距离长和支持正版保护等功能,符合当今技术的发展潮流,一经推出,就获得了巨大的成功。成为平板显示器、高清电视等设备的标准接口之一,并获得了越来越广泛的应用。 从上世纪80年代XILINX发明第一款FPGA芯片以来,FPGA就以其体系结构和逻辑单元灵活,运算速度快,编程方便等优点广泛应用与IC设计、系统控制、视频处理、通信系统、航空航天等诸多方面。 本文利用ALTERA的一款高端FPGA芯片EP2S180F1508C3为核心,配合Silicon Image的专用HDMI接收芯片搭建了一个HDMI的接收显示平台。针对HDMI带宽宽,数据量大的特点,使用了新型的DDR2 SDRAM作为视频信号的输入和输出缓冲。在硬件板级设计上,针对HDMI和DDR2的相关高速电路,采用了一系列的高速电路设计方法,有效的避免了信号的反射,串扰等不良现象。同时在对HDMI规范和DDR2 SDRAM时序规范的深入研究的基础上,在ALTERA的开发平台QUARTUSII上编写了系统的顶层模块和相关各功能子模块,并仿真通过。 论文的主要工作和创新点表现在以下几个方面: 1、论文研究了最新的HDMI接口规范和新型存储器件DDR2的时序规范。 2、论文搭建的整个系统相当庞大,涉及到相关的规范、多种芯片的资料、各种工具软件的使用、原理图的绘制和PCB板的布局布线,直至后期的编程仿真,花费了作者大量的时间和精力。 3、论文首次使用FPGA来处理HDMI信号且直接驱动显示器件,区别于-般的ASIC方案。 4、论文对高速电路特别是的DDR2布局布线,采用了一系列的专门措施,具有一定的借鉴价值。

    标签: FPGA HDMI 显示系统

    上传时间: 2013-07-27

    上传用户:xiaoxiang

  • 基于FPGA的SDI接口的研究与开发.rar

    串行数字接口SDI是目前使用最广泛的数字视频接口。它是遵循SMPTE-259M和EBtJ-Tech-3267标准制定的,己经被世界上众多数字视频设备生产厂家普遍采纳并作为标准视频接口,主要用在非线性编辑系统、视频服务器、虚拟演播室以及数字切换矩阵和数字光端机等场合。 以往的SDI接口在实现方法上有成本高、灵活性低等缺点,针对这些不足,本文在研究串行数字接口工作原理的基础上,提出了一种基于FPGA的标清串行数字接口(SD-SDI)的设计方案,并使用SOPC Builder构成一个Nios II处理器系统,将SDI接口以IP核形式嵌入到FPGA内部,从而提高系统的集成度,使之具有视频数据处理速度快、实时性强、性价比高的特点。具体研究内容包括: 1.在分析SDI接口的硬件结构和工作原理的基础上,提出了串行数字接口的嵌入式系统设计方法,完成了SDI接口卡的FPGA芯片内部配置以及驱动电路、均衡电路、电源电路等硬件电路设计。 2.采用软逻辑方法实现SDI接口的传输功能,进行了具体的模块化设计与仿真。 3.引入Nios II嵌入式软核处理器对数据进行处理,设计了视频图像数据的采集程序。 该传输系统以Altera公司的Cyclone II EP2C35F672C8为核心芯片,通过发送和接收电路的共同作用,能够完成标清数字视频信号的传输,初步确立了以SDI接口为数据源的视频信号传输系统的整体模式和框架。

    标签: FPGA SDI 接口

    上传时间: 2013-07-31

    上传用户:zttztt2005

  • 视频格式转换算法研究及FPGA实现——去隔行、帧频转换、分辨率变换.rar

    在当今的广播系统中,绝大部分的视频信号是隔行采样的。采用这种扫描格式,能够大幅度地减少视频的带宽,但也会引起彩色爬行、画面闪烁、边缘模糊及锯齿等现象。这种缺陷经人尺寸屏幕放大后就更加明显。为改善画面的视觉效果,去隔行技术应运而生。同时,视频信号本身的低帧频也会导致行抖动、线爬行以及大面积闪烁等视觉效果上的缺陷。增加扫描频率会把这些视觉缺陷搬移到人眼不敏感的高频区域上去从而产生较好的主观图象质量。而为了适应不同显示终端以及对图像大小变化的要求就必须对原始信号分辨率即每帧行数和每行像素数进行变换。因此去隔行、帧频转换、分辨率变换成为视频格式转换的基本内容。 FPGA 的出现是VLSI技术和EDA技术发展的结果。FPGA器件集成度高、体积小,具有通过用户编程实现专门应用的功能。它允许电路设计者利用基于计算机的开发平台,经过设计输入、仿真、测试和校验,直到达到预期的结果。使用FPGA器件可以大大缩短系统的研制周期,减少资金投入。另外采用FPGA器件可以将原来的电路板级产品集成芯片级产品,从而降低了功耗,提高了可靠性,同时还可以很方便的对设计进行在线修改。 该文在介绍了视频格式转换中的主要算法后,重点对去隔行、帧频转换、分辨率变换的FPGA综合实现方案进行了由简单到复杂的深入研究,分别给出了最简解决方案、基于非线性算法的解决方案和基于运动补偿的解决方案。最简解决方案利用线性算法将去隔行,帧频转换,分辨率变换三项处理同时实现,达到FPGA内部资源和外部RAM耗用量都为最小的要求,是后续复杂方案的基础。其中去隔行采用场合并方式,帧频转换采用帧重复方式,分辨率变换采用均匀插值方式。基于非线性算法的解决方案中加入了对静止区域的判断,静止区域的输出像素值直接选用相应位置的已存输入数据,非静止区域的输出像素值通过对已存输入数据进行非线性运算得出。基于运动补偿的解决方案在对静止区域进行判断和处理的基础上,对欲生成的变频后的场间插值帧进行运动估计,根据运动矢量得出非静止区域的输出像素值。其中为求得输入场间相应时间位置上的插值帧输出数据,该方案采用了自定义的前后向块匹配运动估计方式,通过对三步搜索算法的高效实现,将SAD 值进行比较得出运动矢量。

    标签: FPGA 视频格式转换 算法研究

    上传时间: 2013-07-19

    上传用户:米卡

  • 面向特种LCD图像处理方法与FPGA实现研究

    本文研究特种LCD的图像处理方法和FPGA实现方案,并研制出基于FPGA的若干实际应用系统,有效地解决目前存在的问题。本文主要研究内容为:  (1)给出一种基于彩色空间变换的色彩调整方法,在YCrCb空间内实现亮度和色度分离,避免了RGB空间两者同时变化造成偏色和失真的现象,并在FPGA内采用流水线结构改进3阶矩阵运算的逻辑结构,节省出2/3的逻辑资源,提高了模块的最高运行速度。  (2)研究利用FPGA实现图像实时缩放处理的方法,选择能够满足特种LCD要求的双线性插值法作为研究对象,实时计算插值系数dx和dy,并采用流水线结构进行插值计算,仅使用FPGA中的3个双端口RAM来缓冲图像数据,没有外扩大容量帧存储器,降低了成本,提高特种LCD的系统兼容性。  (3)设计一种针对特种LCD更为简捷、有效的隔行转逐行扫描的实现方案,即利用图像实时缩放的方法,把一场图像缩放到LCD的分辨率,实现复合视频图像在LCD的“满屏”显示,改善现有特种LCD在显示隔行扫描的复合视频信号时,遇到图像信息丢失或显示效果不佳的问题。  (4)设计出一种基于字符和位图的数字OSD控制核,合理使用分布式RAM和块RAM两种逻辑资源来存储字符和位图信息,OSD图像由数字逻辑自动合成,编程简单灵活,使特种LCD的参数调整更加方便。  (5)研制成功基于FPGA的特种LCD显示控制板,能显示三种分辨率640×480,800×600,1024×768的图像信号;支持宽范围的亮度、对比度、显示位置等参数的实时调整,并提供全功能的透明OSD菜单进行指示。  (6)研制成功基于FPGA的特种LCD图像调节板,用于对某型号机载特种LCD进行改造,增加宽范围的亮度、对比度、图像显示位置的实时调整功能,提供无信号输入检测与OSD指示功能,提高图像显示的性能,通过了环境温度试验与性能测试,并已装机。  (7)研制成功基于DSP和FPGA的图像采集显示板,实现了对全分辨率复合视频信号进行25帧/秒的实时采集和显示,在DSP内使用“三帧”轮换的图像数据缓冲方法提高了系统的实时处理能力,使之能够完成一定复杂度的实时图像处理。

    标签: FPGA LCD 图像 处理方法

    上传时间: 2013-06-12

    上传用户:ivan-mtk

  • 高分辨率合成孔径雷达视频模拟器FPGA实现技术研究

    在合成孔径雷达的研究和研制工作中,合成孔径雷达模拟技术具有十分重要的作用。本文以440MHz带宽线性调频信号,采样频率500MHz高分辨合成孔径雷达视频模拟器为研究对象。首先对模拟器的几项主要技术进行分析,在对点目标回波信号模型分析研究的基础上,对点目标原始回波数据进行模拟并做了成像验证,从而为硬件实现提供了正确的信号模型;针对传统的“波形存储直读法”方案,即在计算机平台上用模拟软件产生原始回波数据并存储,再通过计算机接口实现数据传输,最后完成数模转换产生视频信号这一过程,分析指出该方案在实现高分辨率时的速度和容量瓶颈。  针对具体的设计要求,围绕速度和容量问题,本文着眼于高分辨率SAR模拟器的FPGA实现研究,指出FPGA实时生成点目标原始回波数据是其实现的核心;针对这一核心问题,充分利用现代VLSI设计中的流水线技术与并行阵列技术以及FPGA的优良性能和丰富资源,在时间上采用同步流水结构、空间上采用并行阵列形式,将速度和容量问题统一为数据的高速生成问题;给出了系统总体设计思想,该方案不需要大容量存储器单元,大大减少模拟器复杂度;对原始回波数据实时生成模块的各主要单元给出了结构并进行了仿真,结果表明FPGA可以满足课题设计要求;同时,对该模拟器片上系统的实现、增强人机交互性,给出了人机界面的设计思路。  分析指出了点目标原始回波数据实时生成模块通过并行扩展即可实现多点目标的原始回波数据实时生成;最后对复杂场景目标模拟器的实现进行了构思,指出了传统方案在改进的基础上实现高分辨率视频模拟器的可行性。本文首次提出以FPGA实现高分辨率合成孔径雷达原始回波数据实时生成的思想,为国内业界在此方向做了一些理论和实践上的有益探索,对于国内高分辨率合成孔径雷达的研制具有一定的实际意义。

    标签: FPGA 高分辨率 合成孔径 雷达视频

    上传时间: 2013-04-24

    上传用户:阿四AIR