虫虫首页|资源下载|资源专辑|精品软件
登录|注册

卷积码译码器

  • 基于FPGA的卷积编码和维特比译码

    在数字通信中,采用差错控制技术(纠错码)是提高信号传输可靠性的有效手段,并发挥着越来越重要的作用。纠错码主要有分组码和卷积码两种。在码率和编码器复杂程度相同的情况下,卷积码的性能优于分组码。 卷积码的译码方法主要有代数译码和概率译码。代数译码是基于码的代数结构;而概率译码不仅基于码的代数结构,还利用了信道的统计特性,能充分发挥卷积码的特点,使译码错误概率达到很小。 卷积码译码器的设计是由高性能的复杂译码器开始的,对于概率译码最初的序列译码,随着译码约束长度的增加,其译码错误概率可达到非常小。后来慢慢地向低性能的简单译码器演化,对不太长的约束长度,维特比(Viterbi)算法是非常实用的。维特比算法是一种最大似然的译码方法。当编码约束度不太大(小于等于10)或者误码率要求不太高(约10-5)时,Viterbi译码算法效率很高,速度很快,译码器也较简单。 目前,卷积码在数传系统,尤其是在卫星通信、移动通信等领域已被广泛应用。 本论文对卷积码编码和Viterbi译码的设计原理及其FPGA实现方案进行了研究。同时,将交织和解交织技术应用于编码和解码的过程中。 首先,简要介绍了卷积码的基础知识和维特比译码算法的基本原理,并对硬判决译码和软判决译码方法进行了比较。其次,讨论了交织和解交织技术及其在纠错码中的应用。然后,介绍了FPGA硬件资源和软件开发环境Quartus Ⅱ,包括数字系统的设计方法和设计规则。再有,对基于FPGA的维特比译码器各个模块和相应算法实现、优化进行了研究。最后,在Quartus Ⅱ平台上对硬判决译码和软判决译码以及有无交织等不同情况进行了仿真,并根据仿真结果分析了维特比译码器的性能。 分析结果表明,系统的误码率达到了设计要求,从而验证了译码器设计的可靠性,所设计基于FPGA的并行Viterbi译码器适用于高速数据传输的场合。

    标签: FPGA 卷积 编码 译码

    上传时间: 2013-04-24

    上传用户:zhenyushaw

  • 该文件夹为空时块码-卷积码级联系统的仿真程序

    该文件夹为空时块码-卷积码级联系统的仿真程序,天线配置为2发2收。 主要程序的用途说明: mainMIMO_OFDMA_2Tx_Convcode.m: 主程序,设置各种参数 gendatabits.m: 生成原始信息比特数据的程序 conv_encoder.m: 卷积码编码器 interleave.m: 交织器 mod_2Tx_STBC_DFUSC_Convcode.m:调制程序 gen_SUI_CorrCIR.m: 生成信道冲击响应的程序 get_perfectCE_2x2.m: 生成理想信道估计值的程序 chanSUI_corr_2x2.m: 发送信号通过SUI信道的程序 addAWGN_2x2.m: 添加高斯白噪声的程序 dem_DFUSC_2x2_STBC.m: 接收端解调主程序 ls_freq.m: 频域LS算法 ls_time_2x2.m: 时域LS算法 iterative_detection_decoding_2x2.m: 级联MAP算法主程序 map_decoding.m: 卷积码MAP译码程序 b2s_logp.m: 符号LLR转化为比特LLR

    标签: 卷积码 仿真程序 级联

    上传时间: 2013-12-24

    上传用户:源码3

  • 基于FPGA的Viterbi译码器设计与实现.rar

    卷积码是广泛应用于卫星通信、无线通信等多种通信系统的信道编码方式。Viterbi算法是卷积码的最大似然译码算法,该算法译码性能好、速度快,并且硬件实现结构比较简单,是最佳的卷积码译码算法。随着可编程逻辑技术的不断发展,使用FPGA实现Viterbi译码器的设计方法逐渐成为主流。不同通信系统所选用的卷积码不同,因此设计可重配置的Viterbi译码器,使其能够满足多种通信系统的应用需求,具有很重要的现实意义。 本文设计了基于FPGA的高速Viterbi译码器。在对Viterbi译码算法深入研究的基础上,重点研究了Viterbi译码器核心组成模块的电路实现算法。本设计中分支度量计算模块采用只计算可能的分支度量值的方法,节省了资源;加比选模块使用全并行结构保证处理速度;幸存路径管理模块使用3指针偶算法的流水线结构,大大提高了译码速度。在Xilinx ISE8.2i环境下,用VHDL硬件描述语言编写程序,实现(2,1,7)卷积码的Viterbi译码器。在(2,1,7)卷积码译码器基础上,扩展了Viterbi译码器的通用性,使其能够对不同的卷积码译码。译码器根据不同的工作模式,可以对(2,1,7)、(2,1,9)、(3,1,7)和(3,1,9)四种广泛运用的卷积码译码,并且可以修改译码深度等改变译码器性能的参数。 本文用Simulink搭建编译码系统的通信链路,生成测试Viterbi译码器所需的软判决输入。使用ModelSim SE6.0对各种模式的译码器进行全面仿真验证,Xilinx ISE8.2i时序分析报告表明译码器布局布线后最高译码速度可达200MHz。在FPGA和DSP组成的硬件平台上进一步测试译码器,译码器运行稳定可靠。最后,使用Simulink产生的数据对本文设计的Viterbi译码器的译码性能进行了分析,仿真结果表明,在同等条件下,本文设计的Viterbi译码器与Simulink中的Viterbi译码器模块的译码性能相当。

    标签: Viterbi FPGA 译码器

    上传时间: 2013-06-23

    上传用户:myworkpost

  • 卷积码在CDMA2000中的应用及其译码器FPGA实现

    数字信息在有噪声的信道中传输时,受到噪声的影响,误码总是不可避免的。根据香农信息理论,只要使Es/N0足够大,就可以达到任意小的误码率。采用差错控制编码,即信道编码技术,可以在一定的Es/N0条件下有效地降低误码率。按照对信息元处理方式不同,信道编码分为分组码与卷积码两类。卷积码的k0和n0较小,实现最佳译码与准最佳译码更加容易。卷积码运用广泛,被ITU选入第三代移动通信系统,作为包括WCDMA,CDMA2000和TD-SCDMA在内的信道编码的标准方案。 本文研究了CDMA2000业务通道中的帧结构,对CDMA2000系统中的卷积码特性及维特比译码的性能限进行了分析,并基于MATLAB平台做了相应的译码性能仿真。我们设计了一种可用于CDMA2000通信系统的通用、高速维特比译码器。该译码器在设计上具有以下创新之处:(1)采用通用码表结构,支持可变码率;帧控制模块和频率控制器模块的设计中采用计数器、定时器等器件实现了可变帧长、可变数据速率的数据帧处理方式。(2)结合流水线结构思想,利用四个ACS模块并行运行,加快数据处理速度;在ACS模块中,将路径度量值存贮器的存储结构进行优化,防止数据读写的阻塞,缩短存储器读写时间,使译码器的处理速度更快。(3)为了防止路径度量值和幸存路径长度的溢出,提出了保护处理策略。我们还将设计结果在APEXEP20K30E芯片上进行了硬件实现。该译码器芯片具有可变的码率和帧长处理能力,可以运行于40MHZ系统时钟下,内部最高译码速度可达625kbps。本文所提出的维特比译码器硬件结构具有很强的通用性和高速性,可以方便地应用于CDMA2000移动通信系统。

    标签: CDMA 2000 FPGA 卷积码

    上传时间: 2013-06-24

    上传用户:lingduhanya

  • 卷积码编译码器前段时间在学校做通信系统课程设计

    卷积码编译码器前段时间在学校做通信系统课程设计,选了信道卷积码编译码的课题,但在网上搜遍了也没找到它的MatLab实现,没办法,我只好在图书馆查资料自己解决了。这就是我课程设计论文的论证部分:

    标签: 卷积码 编译码器 通信系统

    上传时间: 2017-01-28

    上传用户:evil

  • EDA卷积码编解码器实现技术

    EDA卷积码编解码器实现技术针对某扩频通信系统数据纠错编码的需要, 构造并分析了(2 , 1 , 6) 卷积码编解码器的基本工作原理, 提出了基于MAX +

    标签: EDA 卷积码 编解码器 实现技术

    上传时间: 2013-07-18

    上传用户:ynwbosss

  • 基于VHDL语言的卷积码编解码器的设计

    本文在阐述卷积码编解码器基本工作原理的基础上,提出了在MAX+PlusⅡ开发平台上基于VHDL语言设计(2,1,6)卷积码编解码器的方法。

    标签: VHDL 语言 卷积码 编解码器

    上传时间: 2013-06-16

    上传用户:zfh920401

  • 卷积码的C源程序

    卷积码的C源程序,包括编码器和译码器。 还有一个是循环荣誉校验的vhdl]源码。

    标签: 卷积码 源程序

    上传时间: 2015-04-15

    上传用户:qq21508895

  • 通信中常用的卷积码信道译码源码程序

    通信中常用的卷积码信道译码源码程序,matlab 与 c 相结合的方式。

    标签: 通信 卷积码 信道 译码

    上传时间: 2014-01-24

    上传用户:chenlong

  • viterbi译码程序 用来对通信中的卷积码进行译码

    viterbi译码程序 用来对通信中的卷积码进行译码

    标签: viterbi 译码 程序 卷积码

    上传时间: 2015-06-20

    上传用户:VRMMO