虫虫首页|资源下载|资源专辑|精品软件
登录|注册

匹配解调

  • 基于FPGA的8PSK调制解调技术研究.rar

    软件无线电是近年提出的新的通信体系,由于其具有灵活性和可重配置性并且符合通信的发展趋势,已成为通信系统设计的研究热点。因此对基于软件无线电的调制解调技术进行深入细致的研究非常有意义。 本文首先从阐述软件无线电的理论基础入手,对多速率信号处理中的内插和抽取、带通采样、数字变频等技术进行了分析与探讨,为设计和实现8PSK调制解调器提供了非常重要的理论依据。然后,研究了8PSK调制解调技术,详细论述了它们的基本概念和原理,提出了系统实现方案,在DSP+FPGA平台上实现了8PSK信号的正确调制解调。文中着重研究了突发通信的同步和频偏纠正算法,针对同步算法选取了一种基于能量检测法的快速位同步算法,采用相关器实现,同时实现位同步和帧同步。并且对于突发通信的多普勒频偏纠正,设计了一个基于自动频率控制(AFC)环的频偏检测器,通过修改数控振荡器(NCO)的频率控制字方法来校正本地载波频率,整个算法结构简单,运算量小,频偏校正速度快,具有较好的实用性。其次,对相干解调的初始相位进行纠正时,提出了一种简单易行的CORDIC方法,同时对FPGA编程当中的一些关键问题进行了介绍。最后,设计了自适应调制解调器,根据信噪比和误码率来自适应的改变调制方式,以达到最佳的传输性能。

    标签: FPGA 8PSK 调制解调

    上传时间: 2013-04-24

    上传用户:mingaili888

  • 基于FPGA的QDPSK调制解调技术的研究及实现.rar

    现代通信系统要求通信距离远、通信容量大、传输质量好。作为其关键技术之一的调制解调技术一直是人们研究的一个重要方向。用FPGA实现调制解调器具有体积小、功耗低、集成度高、可软件升级、抗干扰能力强的特点,符合未来通信技术发展的方向。论文从以下几个方面讨论和实现了基于FPGA的调制解调系统。 论文首先介绍了调制解调系统的发展现状及FPGA的相关知识。然后介绍了几种常见的相位调制解调方式,重点是QDPSK调制解调系统的理论算法。 论文重点介绍了QDPSK解调调制系统的具体实现。首先,在在MATLAB环境下对系统里的每个子模块完成了功能仿真,并取得满意的仿真结果;其次,在QDPSK调制解调系统功能仿真正确的基础上,对每个模块的功能编写C++算法,并且验证了算法的正确性和可实现性;最后,在altera公司的FPGA开发平台Quartus Ⅱ 6.0上,采用Verilog硬件描述语言对QDPSK调制解调系统实现了时序仿真和综合仿真。

    标签: QDPSK FPGA 调制

    上传时间: 2013-04-24

    上传用户:lepoke

  • 基于FPGA的调制解调器的研究和设计.rar

    当今电子系统的设计是以大规模FPGA为物理载体的系统芯片的设计,基于FPGA的片上系统可称为可编程片上系统(SOPC)。SOPC的设计是以知识产权核(IPCore)为基础,以硬件描述语言为主要设计手段,借助以计算机为平台的EDA工具进行的。 本文在介绍了FPGA与SOPC相关技术的基础上,给出了SOPC技术开发调制解调器的方案。在分析设计软件Matlab/DSP(Digital Signal Processing)。builder以及Quartus Ⅱ开发软件进行SOPC(System On a Programmable Chip)设计流程后,依据调制解调算法提出了一种基于DSP Builder调制解调器的SOPC实现方案,模块化的设计方法大大缩短了调制解调器的开发周期。 在SOPC技术开发调制解调器的过程中,用MATLAB/Simulink的图形方式调用Altera DSP Builder和其他Simulink库中的图形模块(Block)进行系统建模,在Simulink中仿真通过后,利用DSP Builder将Simulink的模型文件(.mdl)转化成通用的硬件描述语言VHDL文件,从而避免了VHDL语言手动编写系统的烦琐过程,将精力集中于算法的优化上。 基于DSP Builder的开发功能,调制解调器电路中的低通滤波器可直接调用FIRIP Core,进一步提高了开发效率。 在进行编译、仿真调试成功后,经过QuartusⅡ将编译生成的编程文件下载到ALTERA公司Cyclone Ⅱ系列的FPGA芯片EP2C5F256C6,完成器件编程,从而给出了一种调制解调器的SOPC系统实现方案。

    标签: FPGA 调制解调器

    上传时间: 2013-06-24

    上传用户:liuchee

  • 基于FPGA的直扩调制解调器的设计与实现.rar

    扩频通信系统与常规的通信系统相比,具有很强的抗窄带干扰,抗多径干扰,抗人为干扰的能力,并具有信息隐蔽、多址保密通信等优点。在近年来得到了迅速的发展。本论文主要讨论和实现了基于FPGA的直接序列扩频信号的解扩解调处理。论文对该直扩通信系统和FPGA设计方法进行了相关研究,最后用Altera公司的最新的FPGA开发平台Quarus Ⅱ5.0实现了相关设计。 整个系统分为两个部分,发送部分和接收部分。发送部分主要有串并转换、差分卷积编码、PN码扩频、QPSK调制、成型滤波等模块。接收部分主要有前端抗干扰、数字下变频、解扩解调等模块。 论文首先介绍了扩频通信系统的特点以及相关技术的国内外发展现状,并介绍了本论文的研究思路和内容。 然后,论文分析了几种常用的窄带干扰抑制、载波同步及PN码同步算法,结合实际需要,设计了一种零中频DSSS解调解扩方案。给出了抗窄带干扰、PN码捕获及跟踪以及载波同步的算法分析,采用了基于数字外差调制的自适应陷波器来进行前端窄带干扰抑制处理,用基于自适应门限技术的滑动相关捕获和分时复用单相关器跟踪来改善PN码同步的性能,用基于硬判决的COSTAS(科斯塔斯)环来减少载波提取的算法复杂度,用改进型CORDIC算法实现NCO来方便的进行扩展。 接着,论文给出了系统总体设计和发送及接受子系统的各个功能模块的实现分析以及在Quartus Ⅱ5.0上的实现细节,给出了仿真结果。 然后论文介绍了整个系统的硬件电路设计和它在真实系统中连机调试所得到的测试结果,结果表明该系统具有性能稳定,灵活性好,生产调试容易,体积小,便于升级等特点并且达到课题各项指标的要求。 最后是对论文工作的一些总结和对今后工作的展望。

    标签: FPGA 调制解调器

    上传时间: 2013-05-23

    上传用户:磊子226

  • 基于FPGA的OFDM调制解调器的设计与实现.rar

    正交频分复用(OFDM)技术是一种多载波数字调制技术,具有频谱利用率高、抗多径干扰能力强、成本低等特点,适合无线通信的高速化、宽带化及移动化的需求,将成为下一代无线通信系统(4G)的核心调制传输技术。 本文首先描述了OFDM技术的基本原理。对OFDM的调制解调以及其中涉及的特性和关键技术等做了理论上的分析,指出了OFDM区别于其他调制技术的巨大优势;然后针对OFDM中的信道估计技术,深入分析了基于FFT级联的信道估计理论和基于联合最大似然函数的半盲分组估计理论,在此基础上详细研究描述了用于OFDM系统的迭代的最大似然估计算法,并利用Matlab做了相应的仿真比较,验证了它们的有效性。 而后,在Matlab中应用Simulink工具构建OFDM系统仿真平台。在此平台上,对OFDM系统在多径衰落、高斯白噪声等多种不同的模型参数下进行了仿真,并给出了数据曲线,通过分析结果可正确评价OFDM系统在多个方面的性能。 在综合了OFDM的系统架构和仿真分析之后,设计并实现了基于FPGA的OFDM调制解调系统。首先根据802.16协议和OFDM系统的具体要求,设定了合理的参数;然后从调制器和解调器的具体组成模块入手,对串/并转换,QPSK映射,过采样处理,插入导频,添加循环前缀,IFFT/FFT,帧同步检测等各个模块进行硬件设计,详细介绍了各个模块的设计和实现过程,并给出了相应的仿真波形和参数说明。其中,针对定点运算的局限性,为系统设计并自定义了24位的浮点运算格式,参与傅立叶反变换和傅立叶变换的运算,在系统参数允许的范围内,充分利用了有限资源,提高了系统运算精度;然后重点描述了基于FPGA的快速傅立叶变换算法的改进、优化和设计实现,针对原始快速傅立叶变换FPGA实现算法运算空闲时间过多,资源占用较大的问题,提出了带有流水作业功能、资源占用较少的快速傅立叶变换优化算法设计方案,使之运用于OFDM基带处理系统当中并加以实现,结果满足系统参数的需求。最后以理论分析为依据,对整个OFDM的基带处理系统进行了系统调试与性能分析,证明了设计的可行性。 综上所述,本文完成了一个基于FPGA的OFDM基带处理系统的设计、仿真和实现。本设计为OFDM通信系统的进一步改进提供了大量有用的数据。

    标签: FPGA OFDM 调制解调器

    上传时间: 2013-07-25

    上传用户:14786697487

  • 基于FPGA的QAM调制解调技术研究.rar

    众所周知,信息传输的核心问题是有效性和可靠性,调制解调技术的发展正是体现了这一思想。从最早的模拟调幅调频技术的日益完善,到现在数字调制技术的广泛运用,使得信息的传输更为有效和可靠。QAM调制作为一种新的调制技术,因其具有很高的频带利用率而得到了广泛的应用。 本文对基于FPGA的16QAM调制解调进行了讨论和研究。首先对16QAM调制解调原理进行了阐述,建立了16QAM调制解调系统的数学模型,然后通过分析提出了基于FPGA的16QAM调制解调系统的设计方案。最后编写Verilog代码实现了算法仿真。 FPGA芯片采用的是Altera公司的大规模集成电路芯片Cyclone系列的EPlC20F32417,并通过软件编程对其进行了相关调试。文中详细介绍了基带成形滤波器、载波恢复和定时同步的基本原理及其设计方法。首先用Matlab对整个16QAM系统进行了软件仿真;然后用硬件描述语言Verilog HDL在QuartusⅡ环境下完成了系统关键算法的编写、行为仿真和综合,最后详细阐述了异步串口(UART)的FPGA实现,把我们编写的Verilog程序下载到EPlC20F32417芯片上效果很好。

    标签: FPGA QAM 调制解调

    上传时间: 2013-04-24

    上传用户:talenthn

  • 基于FPGA的OQPSK调制解调器设计与实现.rar

    偏移正交相移键控(OQPSK:Offset Quadrature Phase Shift Keying)调制技术是一种恒包络调制技术,具有频谱利用率高、频谱特性好等特点,广泛应用于卫星通信和移动通信领域。 论文以某型侦收设备中OQPSK解调器的全数字化为研究背景,设计并实现了基于FPGA的全数字OQPSK调制解调器,其中调制器主要用于仿真未知信号,作为测试信号源。论文研究了全数字OQPSK调制解调的基本算法,包括成形滤波器、NCO模型、载波恢复、定时恢复等;完成了整个调制解调算法的MATLAB仿真。在此基础上,采用VHDL硬件描述语言在Xilinx公司ISE7.1开发环境下设计并实现了各个算法模块,并在硬件平台上加以实现。通过实际现场测试,实现了对所侦收信号的正确解调。论文还实现了解调器的百兆以太网接口,使得系统可以方便地将解调数据发送给计算机进行后续处理。

    标签: OQPSK FPGA 调制

    上传时间: 2013-06-30

    上传用户:Miyuki

  • 一种基于SIFT描述子的特征匹配新算法

    为了克服传统的局部特征匹配算法对噪声和图像灰度非线性变换敏感的不足,提出了基于SIFT(Scale Invariant Feature Transform)描述算子的特征匹配算法。该算法首先

    标签: SIFT 特征匹配 新算法

    上传时间: 2013-04-24

    上传用户:hphh

  • 双信号快速测频技术及FPGA实现

    建立在数据率转换技术之上的宽带数字侦察接收机要求能够实现高截获概率、高灵敏度、近乎实时的信号处理能力。双信号数据率转换技术是宽带数字侦察接收机关键技术之一,是解决宽带数字接收机中前端高速ADC采样的高速数据流与后端DSP处理速度之间瓶颈问题的可行方案。测频技术以及带通滤波,即宽带数字下变频技术,是实现数据率转换系统的关键技术。本文首先介绍了宽带数字侦察接收关键技术之一的数据率转换技术,着重研究了快速、高精度双信号测频算法以及实验系统硬件实现。论文主要工作如下: (1)分析了现代电子侦察环境下的信号特征,指出宽带数字接收机必须满足宽监视带宽、流水作业以及近实时的响应时间。给出了一种频率引导式的数字接收机方案,简要介绍这种接收机的关键技术——快速、高精度频率估计以及高效的数据率转换。 (2)介绍了FFT技术在测频算法中的应用,比较了FFT专用芯片及其优点和缺点,指出为了满足实时处理要求,必须选用FPGA设计FFT模块。 (3)在分析常规的插值算法基础上,提出了一种单信号的快速插值频率估计方法,只需三个FFT变换系数的实部构造频率修正项,计算量低。该方法具有精度高、测频速率快的特点。 (4)基于DFT理论和自相关理论,提出了结合FFT和自相关的双信号频率估计算法。该方法先用DFT估计其中一个信号的频率和幅度,以此频率对信号解调并对消该频率成分,最后利用自相关理论估计出另一个信号的频率。 (5)基于DFT理论和FFT技术,研究了信号平方与FFT结合的双信号频率估计算法。根据信号中两频率分量的幅度比,只需一次一维平方信号谱峰搜索,就可以得到双信号的和频与差频分量的估计值,并利用插值技术提高测频精度。该算法能够精确地估计频率间隔小的双信号频率,且容易地扩展到复信号,FPGA硬件实现容易。 (6)基于现代谱分析理论,研究了基于AR(2)模型的双信号频率估计算法。方法在利用AR(2)模型系数估计双正弦信号频率之和的同时,利用FFT快速测频算法估计其中强信号分量的频率值。算法仿真验证和性能分析表明了提出的算法能快速高精度地估计双信号频率。 (7)给出了基于频谱重心算法的雷达双信号频率估计的FPGA硬件实现架构,并进行了时序仿真。 (8)讨论了双信号带宽匹配接收系统的硬件设计方案,给出了快速测频及带宽估计模块设计。

    标签: FPGA 信号 测频

    上传时间: 2013-06-02

    上传用户:youke111

  • 数字式π/4-DQPSK调制解调研究与FPGA实现

      数字式π/4-DQPSK是一种线性窄带调制技术,具有频谱利用率高、频谱特性好、抗衰落性能强、可用非相干解调等突出特点。在移动通信、卫星通信中得到广泛应用。  本文介绍了π/4-DQPSK调制解调的基本原理和各个模块的设计实现;完成了调制解调算法的Matlab仿真设计;采用VHDL硬件描述语言在Xilinx公司的ISE5.2开发环境下设计实现各个模块,通过了时序仿真,实现了正确解调;分析了在实现过程中,采用1bit差分检测了误码率。文章由推出的误码率表达式得到静态高斯噪声下,信噪比为16dB时误码率可达10-8。用Protel99SE进行PCB板设计,完成程序下载进FPGA芯片以及电路调试,其输入符号速率200kbps,调制中频455kHz。测试结果验证了程序的正确,实现了π/4-DQPSK调制解调系统完成预定的目标。  

    标签: DQPSK FPGA 数字式 调制解调

    上传时间: 2013-04-24

    上传用户:June