代码搜索结果
找到约 10,970 项符合
VHDL 的代码
test.vhdl
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity acquistion is
port
(
vcc,tclk0,reset,vref,href,llc2: in std_logic;
outer,outer
usb_new_usbpvci_str.vhdl
--------------------------------------------------------------------------------
--
-- P H I L I P S C O M P A N Y R E S T R I C T E D
--
-- Copyright
usb_new_glue_ent.vhdl
--------------------------------------------------------------------------------
--
-- P H I L I P S C O M P A N Y R E S T R I C T E D
--
-- Copyright
usb_new_pvci_cntrl_rtl.vhdl
--------------------------------------------------------------------------------
-- P H I L I P S C O M P A N Y R E S T R I C T E D
--
-- Copyright (c)
usb_new_usbpvci_dft_str.vhdl
--------------------------------------------------------------------------------
--
-- P H I L I P S C O M P A N Y R E S T R I C T E D
--
-- Copyright
usb_new_usbvpb_top_str.vhdl
--------------------------------------------------------------------------------
--
-- P H I L I P S C O M P A N Y R E S T R I C T E D
--
-- Copyright
usb_new_pvci_eng_str.vhdl
--------------------------------------------------------------------------------
--
-- P H I L I P S C O M P A N Y R E S T R I C T E D
--
-- Copyright
usb_new_trnsmt_ram_rtl.vhdl
--------------------------------------------------------------------------------
--
-- P H I L I P S C O M P A N Y R E S T R I C T E D
--
-- Copyright
usb_new_trnsmt_ram_ent.vhdl
--------------------------------------------------------------------------------
--
-- P H I L I P S C O M P A N Y R E S T R I C T E D
--
-- Copyright
usb_new_usb_cntrl_ent.vhdl
-------------------------------------------------------------------------------
-- Copyright (c) 1998.
--
-- Philips Electronics N.V.
--
-- Philips Semiconductors
-- Interconnectivity and Pr