代码搜索结果
找到约 102,371 项符合
State Machine 的代码
简单的状态机.vhd
--State Machine using Variable
ENTITY fsm2 IS
PORT(clock,x : IN BIT; z : OUT BIT);
END fsm2;
-------------------------------------------------
ARCHITECTURE using_wait OF fsm2 IS
TYPE state_type IS (s0
带同步复位的状态机.vhd
--State Machine with Asynchronous Reset
library ieee;
use ieee.std_logic_1164.all;
entity stmch1 is
port(clk, in1, rst: in std_logic; out1: out std_logic);
end stmch1;
architecture behave of stmch1 is
莫尔型状态机.vhd
-- Moore State Machine with Concurrent Output Logic
-- dowload from: www.fpga.com.cn & www.pld.com.cn
library ieee;
use ieee.std_logic_1164.all;
entity moore1 is port(
clk, rst:
带莫尔_米勒输出的状态机.vhd
--State Machine with Moore and Mealy outputs
library ieee;
use ieee.std_logic_1164.all;
entity mealy1 is port(
clk, rst: in std_logic;
id: in std_logic_vector(3 downto 0);
w: out std_logic;
y: out std
102791
Path: cantaloupe.srv.cs.cmu.edu!magnesium.club.cc.cmu.edu!news.sei.cmu.edu!cis.ohio-state.edu!zaphod.mps.ohio-state.edu!rpi!think.com!enterpoop.mit.edu!senator-bedfellow.mit.edu!athena.mit.edu!hkon
Fr
netfsm.c
/*****************************************************************************
* netfsm.c - Network Control Protocol Finite State Machine program file.
*
* portions Copyright (c) 1997 by Global Ele
bacpaction.c
/* bacpaction.c - BACP state machine actions */
/* Copyright 1999 Wind River Systems, Inc. */
#include "copyright_wrs.h"
/*
modification history
--------------------
02a,05nov01,as code cleanup t
bacpstate.c
/* bacpstate.c - state machine table */
/* Copyright 1999 Wind River Systems, Inc. */
#include "copyright_wrs.h"
/*
modification history
--------------------
01c,06aug02,jr fixed build warnings
01
sclock.smp_dump.txt
State Machine - |sclock|lcd:inst3|state
Name state.SETDDRAM2 state.SETDDRAM1 state.SETCGRAM state.IDLE state.WRITERAM state.SETFUNCTION state.SHIFT state.SWITCHMODE state.SETMODE state.RETURNCURSOR
pppfsm.c
/*
* PPPFSM.C -- PPP Finite State Machine
*
* This implementation of PPP is declared to be in the public domain.
*
* Jan 91 Bill_Simpson@um.cc.umich.edu
* Computer Systems Consulting Ser