代码搜索结果

找到约 1,533 项符合 Speaker 的代码

speaker.tan.summary

-------------------------------------------------------------------------------------- Timing Analyzer Summary --------------------------------------------------------------------------------------

speaker.fit.summary

Flow Status : Successful - Tue Dec 04 20:26:48 2007 Quartus II Version : 5.0 Build 148 04/26/2005 SJ Full Version Revision Name : speaker Top-level Entity Name : songer Family : Cyclone Device :

speaker.map.summary

Flow Status : Successful - Tue Dec 04 20:26:44 2007 Quartus II Version : 5.0 Build 148 04/26/2005 SJ Full Version Revision Name : speaker Top-level Entity Name : songer Family : Cyclone Device :

speaker.fit.qmsg

{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3} { "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II " "Info: Running Quartu

speaker.asm.qmsg

{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3} { "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II " "Info: Running Qua

speaker.hier_info

|songer clk12MHZ => speaker:u3.clk clk8HZ => notetabs:u1.clk spkout counter[6].CLK clk => counter[5].CLK clk => counter[4].CLK clk => counter[

speaker.tan.qmsg

{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3} { "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus II " "Info: Runni

speaker.vhd

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity speaker is port(clk:in std_logic; tone:in std_logic_vector(10 downto 0); spks:out std_logic); end entity

speaker.map.rpt

Analysis & Synthesis report for speaker Mon Nov 26 20:05:12 2007 Version 5.0 Build 148 04/26/2005 SJ Full Version --------------------- ; Table of Contents ; --------------------- 1. Legal