代码搜索结果

找到约 1,533 项符合 Speaker 的代码

speaker.tan.qmsg

{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3} { "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus II " "Info: Runni

speaker.vhd

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity speaker is port(clk:in std_logic; tone:in std_logic_vector(10 downto 0); spks:out std_logic); end entity

top_vhdl.prj

vhdl work "G:\ISE9.1\menling\MUSIC.vhd" vhdl work "G:\ISE9.1\menling\tune.vhd" vhdl work "G:\ISE9.1\menling\SPEAKER.vhd" vhdl work "G:\ISE9.1\menling\NoteTabs.vhd" vhdl work "G:\ISE9.1\menling\TOP

top.prj

vhdl work "MUSIC.vhd" vhdl work "tune.vhd" vhdl work "SPEAKER.vhd" vhdl work "NoteTabs.vhd" vhdl work "TOP .vhd"

speaker_vhdl.prj

vhdl work "G:\ISE9.1\menling\SPEAKER.vhd"

speaker.syr

Release 9.1i - xst J.30 Copyright (c) 1995-2007 Xilinx, Inc. All rights reserved. --> Parameter TMPDIR set to ./xst/projnav.tmp CPU : 0.00 / 0.30 s | Elapsed : 0.00 / 0.00 s --> Parameter xsthdpdir

speaker.vhd

---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 17:36:28 01/10/2009 -- Design Name: -- Module Name: SPEAKER -

beeps.c

#include #include void main (int argc, char *argv[]) { int count; // The number of times to sound the speaker int i; // The number of times the speaker has bee

guihua_uv2.bak

### uVision2 Project, (C) Keil Software ### Do not modify ! Target (Target 1), 0x0000 // Tools: 'MCS-51' Group (Source Group 1) File 1,2, 0x0 Options 1,0,0