代码搜索结果

找到约 16,374 项符合 Op-Amp 的代码

ch2example17sfun.m

function [sys,x0,str,ts] =ch2example17Sfun(t,x,u,flag,Amp,Freq,Phase) % 正弦波信号源 switch flag, case 0 % flag=0 初始化 [sys,x0,str,ts]=mdlInitializeSizes; case 3 % flag=3

bad-op.sh

#!/bin/bash # bad-op.sh: Trying to use a string comparison on integers. echo number=1 # The following "while loop" has two errors: #+ one blatant, and the other subtle. while [ "$number" < 5 ] #

_vec.c

/* @(#) _vec.c 1.2 1/27/86 17:47:53 */ /*ident "@(#)cfront:lib/new/_vec.c 1.2"*/ typedef void* PV; typedef void (*PF)(PV); extern PV _vec_new(PV op, int n, int sz, PV f) /* allocate a vector of "n"

main.adb

with Random; with Stacks; with Ada.Text_IO; use Ada.Text_IO; procedure Main is X: Random.Small; OS1 : Stacks.Object_Stack ; OS2 : Stacks.Object_Stack ; OP: Stacks.Object_Class_Ptr; begin

project.ehl

[Op Calls] [Events] [Active Breakpoints] [Inactive Breakpoints]

imspect.m

% IMSPECT - Plots image amplitude spectrum averaged over all orientations. % % Usage: [amp, f, slope] = imspect(im, nbins, lowcut) % \ / %

exp.eww

$WS_DIR$\ADC&LCD\ADC_LCD.ewp $WS_DIR$\ADC&LCD\ADC_LCD_A.ewp

vhdl code7.bak

LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; ENTITY mux IS port(s:in std_logic_vector(2 downto 0); inp:in std_logic_vector(7 downto 0); op: out std_logic);

vhdl code7.vhd

LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; ENTITY mux IS port(s:in std_logic_vector(2 downto 0); inp:in std_logic_vector(7 downto 0); op: out std_logic);