代码搜索结果
找到约 5,955 项符合
Circuit 的代码
negative.vhd
--negative.vhd correct negative number circuit
library ieee ;
use ieee.std_logic_1164.all;
use work.components.all;
entity negative is
port(
a : in std_logic_vector(11 downto 0);--块
negative.vhd
--negative.vhd correct negative number circuit
library ieee ;
use ieee.std_logic_1164.all;
use work.components.all;
entity negative is
port(
a : in std_logic_vector(11 downto 0);--块
higain.ewb
Electronics Workbench Circuit File
Version: 5
Charset: ANSI
Description:
"Ultra-High Gain Audio Amplifier"
""
"Source: National Semiconductor Linear-Applications-Handbook"
"Vo =
circuit2.clp
;;;======================================================
;;; Example Circuit #2
;;;
;;; An example circuit to be loaded for use with
;;; the "electronic.clp" example program. This
;;;
circuit1.clp
;;;======================================================
;;; Example Circuit #1
;;;
;;; An example circuit to be loaded for use with
;;; the "electronic.clp" example program. Note
;;;
新建 文本文档.txt
: koko (koko), 信区: Circuit
标 题: Re: 请教7805,7905,7812,7912的管脚排列??
发信站: BBS 水木清华站 (Sat Apr 27 10:21:49 2002)
78X和79X的引脚排列是这样的:
1脚电压比2脚高就行了。
因此,78X的1脚是输入,2脚为地,3脚输出。因为78X为正电压。
79X的1脚
negative.vhd
--negative.vhd correct negative number circuit
library ieee ;
use ieee.std_logic_1164.all;
use work.components.all;
entity negative is
port(
a : in std_logic_vector(11 downto 0);--块
ch3_2_3.m
I = imread('circuit.tif');
I2 = imcrop(I,[75 68 130 112]);
imview(I), imview(I2)
negative.vhd
--negative.vhd correct negative number circuit
library ieee ;
use ieee.std_logic_1164.all;
use work.components.all;
entity negative is
port(
a : in std_logic_vector(11 downto 0);--块
sumarize11_3_4.m
subplot(1,2,1)
imshow circuit.tif
I = imcrop;
subplot(1,2,2)
imshow(I);