📄 busdelay.vhd
字号:
----------------------------------------------------------------------------
--
--
--
--
--
--
--
--
--
-----------------------------------------------------------------------------
-- Entity: AntiRimbalzo
-- File: BusDelay.vhd
-- Description: Dummy version of BusDelay
------------------------------------------------------------------------------
library IEEE;
use IEEE.Std_Logic_1164.all;
use IEEE.Std_Logic_arith.all;
use IEEE.Std_Logic_unsigned.all;
entity BusDelay is port(
Mclock :in std_logic; -- Master Clock
A :in std_logic_vector(7 downto 0); -- Ingresso
Y :OUT std_logic_vector(7 downto 0) -- Uscita Ritardata di 16 Mclock
);
end;
architecture rtl of BusDelay is
--------------------------------------------------------------------------------
type Lut_memtype is array (15 downto 0) of std_logic_vector(7 downto 0);
signal mem : Lut_memtype;
begin
Process(Mclock)
begin
if Mclock'event and Mclock='1' then
mem(0) <= A;
Mem(15 downto 1)<= Mem(14 downto 0);
end if;
end process;
Y<Mem(15);
end;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -