⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 dm642_syn.vhd

📁 一个用vHDL语言编的同步程序
💻 VHD
字号:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;

entity DM642_syn is
port(clk:in std_logic;
     syn_ir:out std_logic;
     syn_dm642:out std_logic 

);
end DM642_syn;

architecture rtl of DM642_syn is
signal syn_count:std_logic_vector(21 downto 0);
begin

process(clk)
begin
if(clk'event and clk='1') then
   if(syn_count=200000) then 
     syn_count<=(others=>'0'); 
   else
     syn_count<=syn_count+1;
   end if;
   if(syn_count<=40000) then 
      syn_ir<='1';
    else
      syn_ir<='0';
    end if;
    if(syn_count<81920+10 and syn_count>=10) then
      syn_dm642<='1';
    else
      syn_dm642<='0';
    end if;
end if;
end process;


end rtl;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -