⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 adrctrlreg.vhd

📁 ARM7核在FPGA中的VHDL代码实现
💻 VHD
字号:
--****************************************************************************************************
-- Address and address class signals registers for ARM core simualtion
-- Designed by Ruslan Lepetenok
-- Modified 07.12.2002
--****************************************************************************************************
library	IEEE;
use IEEE.std_logic_1164.all;

entity AdrCtrlReg is port (
						   -- Global control signals
	                       nRESET    : in  std_logic;
						   CLK       : in  std_logic;
						   CLKEN     : in  std_logic;
						   -- Address class signals 
						   ADDR_In   : in  std_logic_vector(31 downto 0);
						   WRITE_In  : in  std_logic;
						   SIZE_In   : in  std_logic_vector(1 downto 0);
						   -- Outputs
						   ADDR_Out  : out std_logic_vector(31 downto 0);
						   WRITE_Out : out std_logic;
						   SIZE_Out  : out std_logic_vector(1 downto 0)
						  );
end AdrCtrlReg;

architecture RTL of AdrCtrlReg is
begin

AdrClassSigReg:process(nRESET,CLK)
begin
if nRESET='0' then                          -- Reset
  ADDR_Out  <= (others =>'0');
  WRITE_Out <= '0';
  SIZE_Out  <= (others =>'0');
  elsif CLK='1' and CLK'event then           -- Clock
   if CLKEN='1' then                          -- Clock enable
  ADDR_Out  <= ADDR_In;
  WRITE_Out <= WRITE_In;
  SIZE_Out  <= SIZE_In;	 
   end if;	
end if;
end process;			
	
end RTL;


⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -