⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 carry_or_vec.vhd

📁 Xilinx软核microblaze源码(VHDL)版本7.10
💻 VHD
字号:
--------------------------------------------------------------------------------- $Id: carry_or_vec.vhd,v 1.1 2007/10/12 09:11:36 stefana Exp $--------------------------------------------------------------------------------- carry_or_vec.vhd - Entity and architecture----  ***************************************************************************--  **  Copyright(C) 2003 by Xilinx, Inc. All rights reserved.               **--  **                                                                       **--  **  This text contains proprietary, confidential                         **--  **  information of Xilinx, Inc. , is distributed by                      **--  **  under license from Xilinx, Inc., and may be used,                    **--  **  copied and/or disclosed only pursuant to the terms                   **--  **  of a valid license agreement with Xilinx, Inc.                       **--  **                                                                       **--  **  Unmodified source code is guaranteed to place and route,             **--  **  function and run at speed according to the datasheet                 **--  **  specification. Source code is provided "as-is", with no              **--  **  obligation on the part of Xilinx to provide support.                 **--  **                                                                       **--  **  Xilinx Hotline support of source code IP shall only include          **--  **  standard level Xilinx Hotline support, and will only address         **--  **  issues and questions related to the standard released Netlist        **--  **  version of the core (and thus indirectly, the original core source). **--  **                                                                       **--  **  The Xilinx Support Hotline does not have access to source            **--  **  code and therefore cannot answer specific questions related          **--  **  to source HDL. The Xilinx Support Hotline will only be able          **--  **  to confirm the problem in the Netlist version of the core.           **--  **                                                                       **--  **  This copyright and support notice must be retained as part           **--  **  of this text at all times.                                           **--  ***************************************************************************----------------------------------------------------------------------------------- Filename:        carry_or_vec.vhd---- Description:     Vector OR function using carry-chain--                  -- VHDL-Standard:   VHDL'93/02--------------------------------------------------------------------------------- Structure:   --              carry_or_vec.vhd----------------------------------------------------------------------------------- Author:          goran-- Revision:        $Revision: 1.1 $-- Date:            $Date: 2007/10/12 09:11:36 $---- History:--   goran  2006-08-09    First Version----------------------------------------------------------------------------------- Naming Conventions:--      active low signals:                     "*_n"--      clock signals:                          "clk", "clk_div#", "clk_#x" --      reset signals:                          "rst", "rst_n" --      generics:                               "C_*" --      user defined types:                     "*_TYPE" --      state machine next state:               "*_ns" --      state machine current state:            "*_cs" --      combinatorial signals:                  "*_com" --      pipelined or register delay signals:    "*_d#" --      counter signals:                        "*cnt*"--      clock enable signals:                   "*_ce" --      internal version of output port         "*_i"--      device pins:                            "*_pin" --      ports:                                  - Names begin with Uppercase --      processes:                              "*_PROCESS" --      component instantiations:               "<ENTITY_>I_<#|FUNC>-------------------------------------------------------------------------------library IEEE;use IEEE.std_logic_1164.all;library Microblaze_v7_10_a;use Microblaze_v7_10_a.MicroBlaze_Types.all;entity carry_or_vec is  generic (    C_TARGET : TARGET_FAMILY_TYPE;    Size : natural);  port (    In_Vec    : in  std_logic_vector(0 to Size-1);    Carry_Out : out std_logic);end entity carry_or_vec;library unisim;use unisim.vcomponents.all;architecture IMP of carry_or_vec is  constant C_BITS_PER_LUT : integer:= (Family_To_LUT_Size(C_TARGET));  signal sel   : std_logic_vector(0 to ((Size+(C_BITS_PER_LUT - 1))/C_BITS_PER_LUT) - 1);  signal carry : std_logic_vector(0 to ((Size+(C_BITS_PER_LUT - 1))/C_BITS_PER_LUT));    signal sig1  : std_logic_vector(0 to sel'length*C_BITS_PER_LUT - 1);  begin  -- architecture IMP  assign_sigs : process (In_Vec) is  begin  -- process assign_sigs    sig1               <= (others => '0');    sig1(0 to Size-1)  <= In_Vec;  end process assign_sigs;  carry(carry'right) <= '0';  The_Compare : for I in sel'right downto sel'left generate    -- Combine the signals that fit into one LUT.--    sel(I) <= not(sig1(4*I) or sig1(4*I+1) or sig1(4*I+2) or sig1(4*I+3));    Compare_All_Bits: process(sig1)      variable sel_I   : std_logic;    begin      sel_I  :=  '0';      Compare_Bits: for J in C_BITS_PER_LUT - 1 downto 0 loop        sel_I  := sel_I or ( sig1(C_BITS_PER_LUT * I + J) );      end loop Compare_Bits;      sel(I) <= not sel_I;    end process Compare_All_Bits;    MUXCY_L_I1 : MUXCY_L      port map (        DI => '1',                      -- [in  std_logic S = 0]        CI => Carry(I+1),               -- [in  std_logic S = 1]        S  => sel(I),                   -- [in  std_logic (Select)]        LO => Carry(I));                -- [out std_logic]      end generate The_Compare;  Carry_Out <= Carry(0);  end architecture IMP;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -