⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 tb_sramtest.v

📁 BJ-EPM240V2实验例程以及说明文档实验之十一读写SRAM
💻 V
字号:


`timescale 1ns/1ns
module tb_sramtest();

//input
reg clk;		// 50MHz
reg rst_n;	//低电平复位

//output
wire led;		// LED1
wire[14:0] sram_addr;	// SRAM地址总线
wire sram_wr_n;		// SRAM写选通

//inout
wire [7:0] sram_data;	// SRAM数据总线


sram_test	sram_test(
					.clk(clk),
					.rst_n(rst_n),
					.led(led),
					.sram_addr(sram_addr),
					.sram_wr_n(sram_wr_n),
					.sram_data(sram_data)
				);

initial begin
	rst_n = 0;
	#200; rst_n = 1;
end

initial begin
	clk = 0;
	forever 
		#10 clk = ~clk;
end




endmodule

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -