📄 targ32_wrp_netlist.vhd
字号:
Y : out std_logic;
A : in std_logic;
B : in std_logic );
end component;
component AND2
port(
Y : out std_logic;
A : in std_logic;
B : in std_logic );
end component;
component VCC
port(
Y : out std_logic );
end component;
component GND
port(
Y : out std_logic );
end component;
begin
II_MAKE_MX2_2: MX2 port map (
Y => CLK_GATE(0),
A => Y_0,
B => Y_1,
S => S1);
II_MAKE_MX2_1: MX2 port map (
Y => Y_1,
A => NN_1,
B => NN_2,
S => S0);
II_MAKE_MX2_0: MX2 port map (
Y => Y_0,
A => NN_1,
B => NN_1,
S => S0);
II_MAKE_OR2: OR2 port map (
Y => S1,
A => TRDYN_OUT,
B => IRDYN_CLK);
II_MAKE_AND2: AND2 port map (
Y => S0,
A => P_BUS_EN_SIG(7),
B => LOAD_AD_REGN_0_o3);
II_VCC_i: VCC port map (
Y => NN_2);
II_GND_i: GND port map (
Y => NN_1);
NN_3 <= '0';
NN_4 <= '1';
end beh;
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library synplify;
use synplify.components.all;
library proasic3;
use proasic3.components.all;
entity CM8_4 is
port(
P_BUS_EN_SIG : in std_logic_vector (6 downto 6);
CLK_GATE : out std_logic_vector (8 downto 8);
LOAD_AD_REGN_0_o3 : in std_logic;
IRDYN_CLK : in std_logic;
TRDYN_OUT : in std_logic);
end CM8_4;
architecture beh of CM8_4 is
signal Y_0 : std_logic ;
signal Y_1 : std_logic ;
signal S1 : std_logic ;
signal NN_1 : std_logic ;
signal NN_2 : std_logic ;
signal S0 : std_logic ;
signal NN_3 : std_logic ;
signal NN_4 : std_logic ;
component MX2
port(
Y : out std_logic;
A : in std_logic;
B : in std_logic;
S : in std_logic );
end component;
component OR2
port(
Y : out std_logic;
A : in std_logic;
B : in std_logic );
end component;
component AND2
port(
Y : out std_logic;
A : in std_logic;
B : in std_logic );
end component;
component VCC
port(
Y : out std_logic );
end component;
component GND
port(
Y : out std_logic );
end component;
begin
II_MAKE_MX2_2: MX2 port map (
Y => CLK_GATE(8),
A => Y_0,
B => Y_1,
S => S1);
II_MAKE_MX2_1: MX2 port map (
Y => Y_1,
A => NN_1,
B => NN_2,
S => S0);
II_MAKE_MX2_0: MX2 port map (
Y => Y_0,
A => NN_1,
B => NN_1,
S => S0);
II_MAKE_OR2: OR2 port map (
Y => S1,
A => TRDYN_OUT,
B => IRDYN_CLK);
II_MAKE_AND2: AND2 port map (
Y => S0,
A => P_BUS_EN_SIG(6),
B => LOAD_AD_REGN_0_o3);
II_VCC_i: VCC port map (
Y => NN_2);
II_GND_i: GND port map (
Y => NN_1);
NN_3 <= '0';
NN_4 <= '1';
end beh;
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library synplify;
use synplify.components.all;
library proasic3;
use proasic3.components.all;
entity CM8_3 is
port(
P_BUS_EN_SIG : in std_logic_vector (6 downto 6);
CLK_GATE : out std_logic_vector (9 downto 9);
LOAD_AD_REGN_0_o3 : in std_logic;
IRDYN_CLK : in std_logic;
TRDYN_OUT : in std_logic);
end CM8_3;
architecture beh of CM8_3 is
signal Y_0 : std_logic ;
signal Y_1 : std_logic ;
signal S1 : std_logic ;
signal NN_1 : std_logic ;
signal NN_2 : std_logic ;
signal S0 : std_logic ;
signal NN_3 : std_logic ;
signal NN_4 : std_logic ;
component MX2
port(
Y : out std_logic;
A : in std_logic;
B : in std_logic;
S : in std_logic );
end component;
component OR2
port(
Y : out std_logic;
A : in std_logic;
B : in std_logic );
end component;
component AND2
port(
Y : out std_logic;
A : in std_logic;
B : in std_logic );
end component;
component VCC
port(
Y : out std_logic );
end component;
component GND
port(
Y : out std_logic );
end component;
begin
II_MAKE_MX2_2: MX2 port map (
Y => CLK_GATE(9),
A => Y_0,
B => Y_1,
S => S1);
II_MAKE_MX2_1: MX2 port map (
Y => Y_1,
A => NN_1,
B => NN_2,
S => S0);
II_MAKE_MX2_0: MX2 port map (
Y => Y_0,
A => NN_1,
B => NN_1,
S => S0);
II_MAKE_OR2: OR2 port map (
Y => S1,
A => TRDYN_OUT,
B => IRDYN_CLK);
II_MAKE_AND2: AND2 port map (
Y => S0,
A => P_BUS_EN_SIG(6),
B => LOAD_AD_REGN_0_o3);
II_VCC_i: VCC port map (
Y => NN_2);
II_GND_i: GND port map (
Y => NN_1);
NN_3 <= '0';
NN_4 <= '1';
end beh;
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library synplify;
use synplify.components.all;
library proasic3;
use proasic3.components.all;
entity CM8_2 is
port(
P_BUS_EN_SIG : in std_logic_vector (6 downto 6);
CLK_GATE : out std_logic_vector (10 downto 10);
LOAD_AD_REGN_0_o3 : in std_logic;
IRDYN_CLK : in std_logic;
TRDYN_OUT : in std_logic);
end CM8_2;
architecture beh of CM8_2 is
signal Y_0 : std_logic ;
signal Y_1 : std_logic ;
signal S1 : std_logic ;
signal NN_1 : std_logic ;
signal NN_2 : std_logic ;
signal S0 : std_logic ;
signal NN_3 : std_logic ;
signal NN_4 : std_logic ;
component MX2
port(
Y : out std_logic;
A : in std_logic;
B : in std_logic;
S : in std_logic );
end component;
component OR2
port(
Y : out std_logic;
A : in std_logic;
B : in std_logic );
end component;
component AND2
port(
Y : out std_logic;
A : in std_logic;
B : in std_logic );
end component;
component VCC
port(
Y : out std_logic );
end component;
component GND
port(
Y : out std_logic );
end component;
begin
II_MAKE_MX2_2: MX2 port map (
Y => CLK_GATE(10),
A => Y_0,
B => Y_1,
S => S1);
II_MAKE_MX2_1: MX2 port map (
Y => Y_1,
A => NN_1,
B => NN_2,
S => S0);
II_MAKE_MX2_0: MX2 port map (
Y => Y_0,
A => NN_1,
B => NN_1,
S => S0);
II_MAKE_OR2: OR2 port map (
Y => S1,
A => TRDYN_OUT,
B => IRDYN_CLK);
II_MAKE_AND2: AND2 port map (
Y => S0,
A => P_BUS_EN_SIG(6),
B => LOAD_AD_REGN_0_o3);
II_VCC_i: VCC port map (
Y => NN_2);
II_GND_i: GND port map (
Y => NN_1);
NN_3 <= '0';
NN_4 <= '1';
end beh;
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library synplify;
use synplify.components.all;
library proasic3;
use proasic3.components.all;
entity CM8_1 is
port(
P_BUS_EN_SIG : in std_logic_vector (7 downto 7);
CLK_GATE : out std_logic_vector (7 downto 7);
LOAD_AD_REGN_0_o3 : in std_logic;
IRDYN_CLK : in std_logic;
TRDYN_OUT : in std_logic);
end CM8_1;
architecture beh of CM8_1 is
signal Y_0 : std_logic ;
signal Y_1 : std_logic ;
signal S1 : std_logic ;
signal NN_1 : std_logic ;
signal NN_2 : std_logic ;
signal S0 : std_logic ;
signal NN_3 : std_logic ;
signal NN_4 : std_logic ;
component MX2
port(
Y : out std_logic;
A : in std_logic;
B : in std_logic;
S : in std_logic );
end component;
component OR2
port(
Y : out std_logic;
A : in std_logic;
B : in std_logic );
end component;
component AND2
port(
Y : out std_logic;
A : in std_logic;
B : in std_logic );
end component;
component VCC
port(
Y : out std_logic );
end component;
component GND
port(
Y : out std_logic );
end component;
begin
II_MAKE_MX2_2: MX2 port map (
Y => CLK_GATE(7),
A => Y_0,
B => Y_1,
S => S1);
II_MAKE_MX2_1: MX2 port map (
Y => Y_1,
A => NN_1,
B => NN_2,
S => S0);
II_MAKE_MX2_0: MX2 port map (
Y => Y_0,
A => NN_1,
B => NN_1,
S => S0);
II_MAKE_OR2: OR2 port map (
Y => S1,
A => TRDYN_OUT,
B => IRDYN_CLK);
II_MAKE_AND2: AND2 port map (
Y => S0,
A => P_BUS_EN_SIG(7),
B => LOAD_AD_REGN_0_o3);
II_VCC_i: VCC port map (
Y => NN_2);
II_GND_i: GND port map (
Y => NN_1);
NN_3 <= '0';
NN_4 <= '1';
end beh;
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library synplify;
use synplify.components.all;
library proasic3;
use proasic3.components.all;
entity CM8 is
port(
P_BUS_EN_SIG_0 : in std_logic_vector (6 downto 6);
CLK_GATE : out std_logic_vector (11 downto 11);
LOAD_AD_REGN_0_o3 : in std_logic;
IRDYN_CLK : in std_logic;
TRDYN_OUT : in std_logic);
end CM8;
architecture beh of CM8 is
signal Y_0 : std_logic ;
signal Y_1 : std_logic ;
signal S1 : std_logic ;
signal NN_1 : std_logic ;
signal NN_2 : std_logic ;
signal S0 : std_logic ;
signal NN_3 : std_logic ;
signal NN_4 : std_logic ;
component MX2
port(
Y : out std_logic;
A : in std_logic;
B : in std_logic;
S : in std_logic );
end component;
component OR2
port(
Y : out std_logic;
A : in std_logic;
B : in std_logic );
end component;
component AND2
port(
Y : out std_logic;
A : in std_logic;
B : in std_logic );
end component;
component VCC
port(
Y : out std_logic );
end component;
component GND
port(
Y : out std_logic );
end component;
begin
II_MAKE_MX2_2: MX2 port map (
Y => CLK_GATE(11),
A => Y_0,
B => Y_1,
S => S1);
II_MAKE_MX2_1: MX2 port map (
Y => Y_1,
A => NN_1,
B => NN_2,
S => S0);
II_MAKE_MX2_0: MX2 port map (
Y => Y_0,
A => NN_1,
B => NN_1,
S => S0);
II_MAKE_OR2: OR2 port map (
Y => S1,
A => TRDYN_OUT,
B => IRDYN_CLK);
II_MAKE_AND2: AND2 port map (
Y => S0,
A => P_BUS_EN_SIG_0(6),
B => LOAD_AD_REGN_0_o3);
II_VCC_i: VCC port map (
Y => NN_2);
II_GND_i: GND port map (
Y => NN_1);
NN_3 <= '0';
NN_4 <= '1';
end beh;
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library synplify;
use synplify.components.all;
library proasic3;
use proasic3.components.all;
entity DEL_BUFF_41 is
port(
AD : in std_logic_vector (4 downto 4);
AD_BUFF : out std_logic_vector (4 downto 4));
end DEL_BUFF_41;
architecture beh of DEL_BUFF_41 is
signal Y1 : std_logic ;
signal NN_1 : std_logic ;
signal NN_2 : std_logic ;
signal NN_3 : std_logic ;
signal NN_4 : std_logic ;
component BUFD
port(
Y : out std_logic;
A : in std_logic );
end component;
component VCC
port(
Y : out std_logic );
end component;
component GND
port(
Y : out std_logic );
end component;
begin
II_U2: BUFD port map (
Y => AD_BUFF(4),
A => Y1);
II_U1: BUFD port map (
Y => Y1,
A => AD(4));
II_VCC_i: VCC port map (
Y => NN_1);
II_GND_i: GND port map (
Y => NN_2);
NN_3 <= '0';
NN_4 <= '1';
end beh;
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library synplify;
use synplify.components.all;
library proasic3;
use proasic3.components.all;
entity DEL_BUFF_40 is
port(
AD : in std_logic_vector (1 downto 1);
AD_BUFF : out std_logic_vector (1 downto 1));
end DEL_BUFF_40;
architecture beh of DEL_BUFF_40 is
signal Y1 : std_logic ;
signal NN_1 : std_logic ;
signal NN_2 : std_logic ;
signal NN_3 : std_logic ;
signal NN_4 : std_logic ;
component BUFD
port(
Y : out std_logic;
A : in std_logic );
end component;
component VCC
port(
Y : out std_logic );
end component;
component GND
port(
Y : out std_logic );
end component;
begin
II_U2: BUFD port map (
Y => AD_BUFF(1),
A => Y1);
II_U1: BUFD port map (
Y => Y1,
A => AD(1));
II_VCC_i: VCC port map (
Y => NN_1);
II_GND_i: GND port map (
Y => NN_2);
NN_3 <= '0';
NN_4 <= '1';
end beh;
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library synplify;
use synplify.components.all;
library proasic3;
use proasic3.components.all;
entity DEL_BUFF_39 is
port(
AD : in std_logic_vector (0 downto 0);
AD_BUFF : out std_logic_vector (0 downto 0));
end DEL_BUFF_39;
architecture beh of DEL_BUFF_39 is
signal Y1 : std_logic ;
signal NN_1 : std_logic ;
signal NN_2 : std_logic ;
signal NN_3 : std_logic ;
signal NN_4 : std_logic ;
component BUFD
port(
Y : out std_
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -