📄 complemento2.vhd
字号:
----------------------------------------------------------------------------------
-- Bufon, Ferluga
-- Progetto elettronica 2 FPGA
-- Termometro visualizzato su VGA
----------------------------------------------------------------------------------
--Blocco che effettua il complemento a 2
library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;
--Dichiarazione variabili d'ingresso e di uscita:
entity complemento2 is Port ( reset : in std_logic;
sign : in std_logic; data_in : in STD_LOGIC_VECTOR (7 downto 0); data_out : out STD_LOGIC_VECTOR (7 downto 0));end complemento2;architecture Behavioral of complemento2 issignal data : std_logic_vector (7 downto 0);beginprocess(data_in,sign,reset)begin
--se il segnale di reset = 0 aggiorna il dato in ingresso
if (reset='0')then
data <= data_in;
--se il segnale di reset = 1 e sign = 1 effettua il complemento a 2
else if (sign = '1') then
data_out <= not(data)+1; else
data_out<=data; end if; end if;end process;end Behavioral;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -