📄 selettore.vhd
字号:
----------------------------------------------------------------------------------
-- Bufon, Ferluga
-- Progetto elettronica 2 FPGA
-- Termometro visualizzato su VGA
----------------------------------------------------------------------------------
--Blocco selettore, che sceglie
--opportunamente i numeri in funzione dei bit inviatogli dal termometro
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
--Dichiarazione variabili d'ingresso e di uscita:
entity selettor is
Port ( ena_in : in STD_LOGIC_VECTOR (18 downto 0);
dva_in : in STD_LOGIC_VECTOR (29 downto 0);
tri_in : in STD_LOGIC_VECTOR (26 downto 0);
stiri_in : in STD_LOGIC_VECTOR (29 downto 0);
pet_in : in STD_LOGIC_VECTOR (26 downto 0);
sest_in : in STD_LOGIC_VECTOR (29 downto 0);
sedem_in : in STD_LOGIC_VECTOR (29 downto 0);
osem_in : in STD_LOGIC_VECTOR (27 downto 0);
devet_in : in STD_LOGIC_VECTOR (29 downto 0);
nic_in : in STD_LOGIC_VECTOR (29 downto 0);
meno_in : in STD_LOGIC_VECTOR (18 downto 0);
punto_in : in STD_LOGIC_VECTOR (7 downto 0);
gradi_in : in STD_LOGIC_VECTOR (29 downto 0);
st_out : out STD_LOGIC_VECTOR (33 downto 0);
clk : in STD_LOGIC;
stevilka : in STD_LOGIC_VECTOR (3 downto 0));
end selettor;
architecture Behavioral of selettor is
begin
process(clk,stevilka)
begin
if ( clk'event and clk ='1') then
case stevilka is
--se l' ingresso
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -