⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 swap.vhd

📁 simple thermometr in vhdl
💻 VHD
字号:
----------------------------------------------------------------------------------
-- Bufon, Ferluga
-- Progetto elettronica 2 FPGA
-- Termometro visualizzato su VGA
----------------------------------------------------------------------------------

--Blocco per invertire il bus

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

--Dichiarazione variabili d'ingresso e di uscita:

entity swap is
    Port ( ingr : in  STD_LOGIC_VECTOR (33 downto 0);
           usci : out  STD_LOGIC_VECTOR (33 downto 0));
end swap;

architecture Behavioral of swap is

begin
usci(0) <= ingr(33);
usci(1) <= ingr(32);
usci(2) <= ingr(31);
usci(3) <= ingr(30);
usci(4) <= ingr(29);
usci(5) <= ingr(28);
usci(6) <= ingr(27);
usci(7) <= ingr(26);
usci(8) <= ingr(25);
usci(9) <= ingr(24);
usci(10) <= ingr(23);
usci(11) <= ingr(22);
usci(12) <= ingr(21);
usci(13) <= ingr(20);
usci(14) <= ingr(19);
usci(15) <= ingr(18);
usci(16) <= ingr(17);
usci(17) <= ingr(16);
usci(18) <= ingr(15);
usci(19) <= ingr(14);
usci(20) <= ingr(13);
usci(21) <= ingr(12);
usci(22) <= ingr(11);
usci(23) <= ingr(10);
usci(24) <= ingr(9);
usci(25) <= ingr(8);
usci(26) <= ingr(7);
usci(27) <= ingr(6);
usci(28) <= ingr(5);
usci(29) <= ingr(4);
usci(30) <= ingr(3);
usci(31) <= ingr(2);
usci(32) <= ingr(1);
usci(33) <= ingr(0);



end Behavioral;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -