📄 fp.vhd
字号:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity fp is
port( l,r : in std_logic_vector( 1 to 32 );
ct : out std_logic_vector( 1 to 64 )
);
end entity fp ;
architecture arch_fp of fp is
signal Q : std_logic_vector( 1 to 64 );
begin
Q<= r & l;
ct<= Q(40) & Q(8) & Q(48) & Q(16) & Q(56) & Q(24) & Q(64) & Q(32) &
Q(39) & Q(7) & Q(47) & Q(15) & Q(55) & Q(23) & Q(63) & Q(31) &
Q(38) & Q(6) & Q(46) & Q(14) & Q(54) & Q(22) & Q(62) & Q(30) &
Q(37) & Q(5) & Q(45) & Q(13) & Q(53) & Q(21) & Q(61) & Q(29) &
Q(36) & Q(4) & Q(44) & Q(12) & Q(52) & Q(20) & Q(60) & Q(28) &
Q(35) & Q(3) & Q(43) & Q(11) & Q(51) & Q(19) & Q(59) & Q(27) &
Q(34) & Q(2) & Q(42) & Q(10) & Q(50) & Q(18) & Q(58) & Q(26) &
Q(33) & Q(1) & Q(41) & Q( 9) & Q(49) & Q(17) & Q(57) & Q(25) ;
end architecture arch_fp ;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -