⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 ip.vhd

📁 DES加密算法的VHDL实现,采用流水线技术实现
💻 VHD
字号:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity ip is
port ( 	din : in 	std_logic_vector( 1 to 64 );
		l0x : out 	std_logic_vector( 1 to 32 );
		r0x : out 	std_logic_vector( 1 to 32 )
	  );
end entity ip ;
architecture arch_ip of ip is
begin
	l0x<=din(58) & din(50) & din(42) & din(34) & din(26) & din(18) & din(10) & din(2) &
		 din(60) & din(52) & din(44) & din(36) & din(28) & din(20) & din(12) & din(4) &
		 din(62) & din(54) & din(46) & din(38) & din(30) & din(22) & din(14) & din(6) &
		 din(64) & din(56) & din(48) & din(40) & din(32) & din(24) & din(16) & din(8);
		
	r0x<=din(57) & din(49) & din(41) & din(33) & din(25) & din(17) & din(9)  & din(1) &
		 din(59) & din(51) & din(43) & din(35) & din(27) & din(19) & din(11) & din(3) &
		 din(61) & din(53) & din(45) & din(37) & din(29) & din(21) & din(13) & din(5) &
		 din(63) & din(55) & din(47) & din(39) & din(31) & din(23) & din(15) & din(7) ;
end architecture arch_ip ;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -