📄 parser_sv.v
字号:
package mypackage; bit [7:0] pkg_addr; bit [7:0] pkg_data;endpackagemodule times (); time x; initial x = 33ns; // Note no spaceendmodule : timesinterface itf #(parameter num_of_cli = 0); logic blabla; logic [7:0] addr, data; modport Master(input data, date_delayed, output addr);endinterface : itfmodule test ( itf whole_int, itf.test modported_int, input logic clk, rst, input logic d_in, output logic d_out ); import mypackage::*; logic d_int; logic [7:0] data_; assign d_int = d_in + pkg_data; assign modported_int.data = data_; always_ff @(posedge clk or negedge rst) begin if (~rst) d_out <= '0; else d_out <= d_int; end// property p1;// @(posedge clk)// disable iff(!rst)// $rose(d_int) |-> ##1 d_int;// endproperty//// a1: assert property(p1) else $warning("\nProperty violated\n");// c1: cover property(p1) $display("\np1_cover\n");endmodule : test
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -