⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 rsmm.vhd

📁 用TCD1501D驱动器产生CCD驱动的6个输出信号RS、CP、SP、SH以及Φ1、Φ2脉冲
💻 VHD
字号:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;


entity RSm is
    port( CLK:in std_logic;
          RS:out std_logic
         );
end entity RSm; 

architecture one of RSm is
variable count :  integer range 0 to  10;
BEGIN
 PROCESS(CLK)
 BEGIN
   IF CLK'EVENT AND CLK='1' THEN
     IF count < 7 THEN
       count := count  + 1 ;
        RS<='1';
    ELSE count := 0 ;
	  RS<='0';
    END IF ;
   END IF ;
 END PROCESS ;
 end architecture one;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -