⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 新建 文本文档.txt

📁 液晶模块显示字符串的VHDL源程序
💻 TXT
字号:
entity top is
    Port (sysclk : in std_logic;
          reset : in std_logic;
			 light_on : in std_logic;
			 light_off : in std_logic;
			 lcd_w : in std_logic;  --when the button ispressed, then write lcd
			 cs : out std_logic;
          codeout : out std_logic_vector(8 downto 0);
			 light : out std_logic;
          en : out std_logic );
end top;

architecture Behavioral of top is

signal clk,delaytime : std_logic;
signal insen : std_logic;
signal inscode : std_logic_vector(8 downto 0);

--control the light, enable the data driver, encode and send out the instruction 
--that will be excuted.
component lcd is
    Port ( clk : in std_logic;
	        delaytime : in std_logic;
           reset : in std_logic;
			  cs : out std_logic;
			  light_on : in std_logic;
			  light_off : in std_logic;
			  lcd_w : in std_logic;  --when the button ispressed, then write lcd
           codeout : out std_logic_vector(8 downto 0);
			  light : out std_logic;
           en : out std_logic);
end component;

component count is
    Port ( sysclk : in std_logic;
           reset : in std_logic;
           clkout : out std_logic;
           delaytime : out std_logic);
end component;

--THE component excute one instruction when it is actived
component excinstr is  
    Port ( clk : in std_logic;
           reset : in std_logic;
           insen : in std_logic;
           inscode : in std_logic_vector(8 downto 0);
           codeout : out std_logic_vector(8 downto 0);
           enout : out std_logic);
end component;

begin

  divcount: count Port map 
         ( sysclk => sysclk,
           reset => reset,
           clkout => clk,
           delaytime => delaytime);

   encode: lcd Port map
	      ( clk => clk,
	        delaytime => delaytime,
           reset => reset,
			  cs => cs,
			  light_on => light_on,
			  light_off => light_off,
			  lcd_w => lcd_w,
           codeout => inscode,
			  light => light,
           en =>insen);
 
    lcdcntl: excinstr Port map
	      ( clk => clk,
           reset => reset,
           insen => insen,
           inscode => inscode,
           codeout => codeout,
           enout => en);

end Behavioral;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -