⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 cnt_up_down.vhd

📁 It s a counter which count to up, when on the all positions are "1", it count to down
💻 VHD
字号:
---------------------------------------------  Licznik binarny,  dwukierunkowy
--
-- Licznik binarny, dwukierunkowy, bez zerowania RESET. Warto滄 pocz箃kowa po 
-- w彻czeniu napi阠ia zasilania to stan 00000000. Licznik po osi筭ni阠iu stanu
-- FFhex odlicza w d蟪 do warto渃i 0
------------------------------------------------------------------------------
library ieee;                                                   
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all; 

entity counter is  -----------------------------------------------------------
generic ( NBit : positive  := 8 ); 
port (
       clk: in std_logic;                                            --  zegar
       q:   out std_logic_vector(NBit-1 downto 0)                  --  licznik
              := ( others => '0')      -- stan licznika po w彻czeniu zasilania
     );
end counter ;

architecture counter_arch of counter  is -------------------------------------

begin

   process(clk)             --------------  proces czeka na zmian

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -