⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 de2_nios.map.rpt

📁 DE2开发板上的资料,主要是他的例子,含有各种接口程序,如VGA,USB,LCD等
💻 RPT
📖 第 1 页 / 共 5 页
字号:
; SDRAM_PLL.v                       ; yes             ; User Verilog HDL File            ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/SDRAM_PLL.v                       ;
; SEG7_Display.v                    ; yes             ; User Verilog HDL File            ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/SEG7_Display.v                    ;
; SEG7_LUT.v                        ; yes             ; User Verilog HDL File            ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/SEG7_LUT.v                        ;
; SEG7_LUT_8.v                      ; yes             ; User Verilog HDL File            ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/SEG7_LUT_8.v                      ;
; sram_0.v                          ; yes             ; User Verilog HDL File            ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/sram_0.v                          ;
; SRAM_16Bit_512K.v                 ; yes             ; User Verilog HDL File            ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/SRAM_16Bit_512K.v                 ;
; switch_pio.v                      ; yes             ; User Verilog HDL File            ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/switch_pio.v                      ;
; system_0.v                        ; yes             ; User Verilog HDL File            ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/system_0.v                        ;
; timer_0.v                         ; yes             ; User Verilog HDL File            ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/timer_0.v                         ;
; timer_1.v                         ; yes             ; User Verilog HDL File            ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/timer_1.v                         ;
; uart_0.v                          ; yes             ; User Verilog HDL File            ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/uart_0.v                          ;
; VGA_0.v                           ; yes             ; User Verilog HDL File            ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/VGA_0.v                           ;
; VGA_Controller.v                  ; yes             ; User Verilog HDL File            ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/VGA_Controller.v                  ;
; VGA_NIOS_CTRL.v                   ; yes             ; User Verilog HDL File            ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/VGA_NIOS_CTRL.v                   ;
; VGA_OSD_RAM.v                     ; yes             ; User Verilog HDL File            ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/VGA_OSD_RAM.v                     ;
; VGA_Param.h                       ; yes             ; Other                            ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/VGA_Param.h                       ;
; altpll.tdf                        ; yes             ; Megafunction                     ; c:/altera/quartus60/libraries/megafunctions/altpll.tdf                          ;
; aglobal60.inc                     ; yes             ; Other                            ; c:/altera/quartus60/libraries/megafunctions/aglobal60.inc                       ;
; stratix_pll.inc                   ; yes             ; Other                            ; c:/altera/quartus60/libraries/megafunctions/stratix_pll.inc                     ;
; stratixii_pll.inc                 ; yes             ; Other                            ; c:/altera/quartus60/libraries/megafunctions/stratixii_pll.inc                   ;
; cycloneii_pll.inc                 ; yes             ; Other                            ; c:/altera/quartus60/libraries/megafunctions/cycloneii_pll.inc                   ;
; dcfifo.tdf                        ; yes             ; Megafunction                     ; c:/altera/quartus60/libraries/megafunctions/dcfifo.tdf                          ;
; lpm_counter.inc                   ; yes             ; Other                            ; c:/altera/quartus60/libraries/megafunctions/lpm_counter.inc                     ;
; lpm_add_sub.inc                   ; yes             ; Other                            ; c:/altera/quartus60/libraries/megafunctions/lpm_add_sub.inc                     ;
; altdpram.inc                      ; yes             ; Other                            ; c:/altera/quartus60/libraries/megafunctions/altdpram.inc                        ;
; a_graycounter.inc                 ; yes             ; Other                            ; c:/altera/quartus60/libraries/megafunctions/a_graycounter.inc                   ;
; a_fefifo.inc                      ; yes             ; Other                            ; c:/altera/quartus60/libraries/megafunctions/a_fefifo.inc                        ;
; a_gray2bin.inc                    ; yes             ; Other                            ; c:/altera/quartus60/libraries/megafunctions/a_gray2bin.inc                      ;
; dffpipe.inc                       ; yes             ; Other                            ; c:/altera/quartus60/libraries/megafunctions/dffpipe.inc                         ;
; alt_sync_fifo.inc                 ; yes             ; Other                            ; c:/altera/quartus60/libraries/megafunctions/alt_sync_fifo.inc                   ;
; lpm_compare.inc                   ; yes             ; Other                            ; c:/altera/quartus60/libraries/megafunctions/lpm_compare.inc                     ;
; altsyncram_fifo.inc               ; yes             ; Other                            ; c:/altera/quartus60/libraries/megafunctions/altsyncram_fifo.inc                 ;
; db/dcfifo_e6c1.tdf                ; yes             ; Auto-Generated Megafunction      ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/db/dcfifo_e6c1.tdf                ;
; db/a_graycounter_g86.tdf          ; yes             ; Auto-Generated Megafunction      ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/db/a_graycounter_g86.tdf          ;
; db/a_graycounter_b17.tdf          ; yes             ; Auto-Generated Megafunction      ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/db/a_graycounter_b17.tdf          ;
; db/a_graycounter_a17.tdf          ; yes             ; Auto-Generated Megafunction      ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/db/a_graycounter_a17.tdf          ;
; db/altsyncram_1731.tdf            ; yes             ; Auto-Generated Megafunction      ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/db/altsyncram_1731.tdf            ;
; db/altsyncram_brg1.tdf            ; yes             ; Auto-Generated Megafunction      ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/db/altsyncram_brg1.tdf            ;
; db/alt_synch_pipe_nc8.tdf         ; yes             ; Auto-Generated Megafunction      ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/db/alt_synch_pipe_nc8.tdf         ;
; db/dffpipe_hd9.tdf                ; yes             ; Auto-Generated Megafunction      ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/db/dffpipe_hd9.tdf                ;
; db/alt_synch_pipe_hn7.tdf         ; yes             ; Auto-Generated Megafunction      ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/db/alt_synch_pipe_hn7.tdf         ;
; db/dffpipe_bo8.tdf                ; yes             ; Auto-Generated Megafunction      ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/db/dffpipe_bo8.tdf                ;
; db/alt_synch_pipe_oc8.tdf         ; yes             ; Auto-Generated Megafunction      ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/db/alt_synch_pipe_oc8.tdf         ;
; db/dffpipe_id9.tdf                ; yes             ; Auto-Generated Megafunction      ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/db/dffpipe_id9.tdf                ;
; altsyncram.tdf                    ; yes             ; Megafunction                     ; c:/altera/quartus60/libraries/megafunctions/altsyncram.tdf                      ;
; stratix_ram_block.inc             ; yes             ; Other                            ; c:/altera/quartus60/libraries/megafunctions/stratix_ram_block.inc               ;
; lpm_mux.inc                       ; yes             ; Other                            ; c:/altera/quartus60/libraries/megafunctions/lpm_mux.inc                         ;
; lpm_decode.inc                    ; yes             ; Other                            ; c:/altera/quartus60/libraries/megafunctions/lpm_decode.inc                      ;
; altsyncram.inc                    ; yes             ; Other                            ; c:/altera/quartus60/libraries/megafunctions/altsyncram.inc                      ;
; a_rdenreg.inc                     ; yes             ; Other                            ; c:/altera/quartus60/libraries/megafunctions/a_rdenreg.inc                       ;
; altrom.inc                        ; yes             ; Other                            ; c:/altera/quartus60/libraries/megafunctions/altrom.inc                          ;
; altram.inc                        ; yes             ; Other                            ; c:/altera/quartus60/libraries/megafunctions/altram.inc                          ;
; altqpram.inc                      ; yes             ; Other                            ; c:/altera/quartus60/libraries/megafunctions/altqpram.inc                        ;
; db/altsyncram_q7o1.tdf            ; yes             ; Auto-Generated Megafunction      ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/db/altsyncram_q7o1.tdf            ;
; db/altsyncram_p132.tdf            ; yes             ; Auto-Generated Megafunction      ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/db/altsyncram_p132.tdf            ;
; db/decode_1qa.tdf                 ; yes             ; Auto-Generated Megafunction      ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/db/decode_1qa.tdf                 ;
; db/mux_hkb.tdf                    ; yes             ; Auto-Generated Megafunction      ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/db/mux_hkb.tdf                    ;
; db/mux_akb.tdf                    ; yes             ; Auto-Generated Megafunction      ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/db/mux_akb.tdf                    ;
; clock_0.v                         ; yes             ; Other                            ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/clock_0.v                         ;
; clock_1.v                         ; yes             ; Other                            ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/clock_1.v                         ;
; db/altsyncram_cub1.tdf            ; yes             ; Auto-Generated Megafunction      ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/db/altsyncram_cub1.tdf            ;
; db/altsyncram_k1l1.tdf            ; yes             ; Auto-Generated Megafunction      ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/db/altsyncram_k1l1.tdf            ;
; db/altsyncram_tke1.tdf            ; yes             ; Auto-Generated Megafunction      ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/db/altsyncram_tke1.tdf            ;
; db/altsyncram_q8e1.tdf            ; yes             ; Auto-Generated Megafunction      ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/db/altsyncram_q8e1.tdf            ;
; db/altsyncram_pfn1.tdf            ; yes             ; Auto-Generated Megafunction      ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/db/altsyncram_pfn1.tdf            ;
; db/altsyncram_4be1.tdf            ; yes             ; Auto-Generated Megafunction      ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/db/altsyncram_4be1.tdf            ;
; db/altsyncram_5be1.tdf            ; yes             ; Auto-Generated Megafunction      ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/db/altsyncram_5be1.tdf            ;
; db/altsyncram_vf22.tdf            ; yes             ; Auto-Generated Megafunction      ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/db/altsyncram_vf22.tdf            ;
; db/altsyncram_a422.tdf            ; yes             ; Auto-Generated Megafunction      ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/db/altsyncram_a422.tdf            ;
; altmult_add.tdf                   ; yes             ; Megafunction                     ; c:/altera/quartus60/libraries/megafunctions/altmult_add.tdf                     ;
; stratix_mac_mult.inc              ; yes             ; Other                            ; c:/altera/quartus60/libraries/megafunctions/stratix_mac_mult.inc                ;
; stratix_mac_out.inc               ; yes             ; Other                            ; c:/altera/quartus60/libraries/megafunctions/stratix_mac_out.inc                 ;
; db/mult_add_4cr2.tdf              ; yes             ; Auto-Generated Megafunction      ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/db/mult_add_4cr2.tdf              ;
; db/ded_mult_2o81.tdf              ; yes             ; Auto-Generated Megafunction      ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/db/ded_mult_2o81.tdf              ;
; db/dffpipe_93c.tdf                ; yes             ; Auto-Generated Megafunction      ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/db/dffpipe_93c.tdf                ;
; db/mult_add_6cr2.tdf              ; yes             ; Auto-Generated Megafunction      ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/db/mult_add_6cr2.tdf              ;
; db/altsyncram_c572.tdf            ; yes             ; Auto-Generated Megafunction      ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/db/altsyncram_c572.tdf            ;
; db/altsyncram_e502.tdf            ; yes             ; Auto-Generated Megafunction      ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/db/altsyncram_e502.tdf            ;
; db/altsyncram_lo31.tdf            ; yes             ; Auto-Generated Megafunction      ; C:/DE2/SOPC_Builder/Reference_Design/DE2_NIOS/db/altsyncram_lo31.tdf            ;
; scfifo.tdf                        ; yes             ; Megafunction                     ; c:/altera/quartus60/libraries/megafunctions/scfifo.tdf                          ;
; a_regfifo.inc                     ; yes             ; Other                            ; c:/altera/quartus60/libraries/megafunctions/a_regfifo.inc                       ;
; a_dpfifo.inc                      ; yes             ; Other                            ; c:/altera/quartus60/libraries/megafunctions/a_dpfifo.inc                        ;
; a_i2fifo.inc                      ; yes             ; Other                            ; c:/altera/quartus60/libraries/megafunctions/a_i2fifo.inc                        ;
; a_fffifo.inc                      ; yes             ; Other                            ; c:/altera/quartus60/libraries/megafunctions/a_fffifo.inc                        ;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -