⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 bbc.vhd

📁 智能化微机测量和控制技术的迅速发展和广泛应用己经渗透到国民经济的各个部门。不但国防技 术、航空、航天、铁路、冶金、化工等产业
💻 VHD
字号:
-- bbc.vhd
-------此模块对应不同的片选信号,输出不同的要显示的数据
library ieee; 
use ieee.std_logic_1164.all; 
---------------------------------
entity bbc is 
port(bai1,bai0,sec1,sec0,min1,min0,h1,h0:in std_logic_vector(3 downto 0); 
sel:in std_logic_vector(2 downto 0); 
q: out std_logic_vector(3 downto 0)); 
end bbc; 
---------------------------------------
architecture bbb_arc of bbc is 
begin 
process(sel) 
begin 
case sel is 
when "000"=>q<=bai0;          ---数码管1和0选择ms输出
when "001"=>q<=bai1;         
when "010"=>q<=sec0;          ---数码管3和2选择s输出 
when "011"=>q<=sec1; 
when "100"=>q<=min0;          ---数码管5和4选择minute输出
when "101"=>q<=min1; 
when "110"=>q<=h0;          ---数码管7和6选择hour输出
when "111"=>q<=h1; 
when others=>q<="111"; 
end case; 
end process; 
end bbb_arc; 

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -