📄 sin.txt
字号:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity sin is
port( da: out std_logic_vector (7 downto 0);
cs: out std_logic :='0';
wr: out std_logic :='0';
clk: in std_logic
);
end sin;
architecture sin_behav of sin is
begin
process (clk)
variable count: integer range 0 to 90 :=0;
begin
if clk'event and clk='1' then
case count is
when 0 => da <=X"89";
when 1 => da <=X"92";
when 2 => da <=X"9B";
when 3 => da <=X"A3";
when 4 => da <=X"AC";
when 5 => da <=X"B4";
when 6 => da <=X"BC";
when 7 => da <=X"C4";
when 8 => da <=X"CB";
when 9 => da <=X"D2";
when 10 => da <=X"D9";
when 11 => da <=X"DF";
when 12 => da <=X"E5";
when 13 => da <=X"EA";
when 14 => da <=X"EF";
when 15 => da <=X"F3";
when 16 => da <=X"F7";
when 17 => da <=X"FA";
when 18 => da <=X"FC";
when 19 => da <=X"FE";
when 20 => da <=X"FF";
when 21 => da <=X"FF"; --100
when 22 => da <=X"FF"; --100
when 23 => da <=X"FF";
when 24 => da <=X"FE";
when 25 => da <=X"FC";
when 26 => da <=X"FA";
when 27 => da <=X"F7";
when 28 => da <=X"F3";
when 29 => da <=X"EF";
when 30 => da <=X"EA";
when 31 => da <=X"E5";
when 32 => da <=X"DF";
when 33 => da <=X"D9";
when 34 => da <=X"D2";
when 35 => da <=X"CB";
when 36 => da <=X"C4";
when 37 => da <=X"BC";
when 38 => da <=X"B4";
when 39 => da <=X"AC";
when 40 => da <=X"A3";
when 41 => da <=X"9B";
when 42 => da <=X"92";
when 43 => da <=X"89";
when 44 => da <=X"80";
when 45 => da <=X"77";
when 46 => da <=X"6E";
when 47 => da <=X"65";
when 48 => da <=X"5D";
when 49 => da <=X"54";
when 50 => da <=X"4C";
when 51 => da <=X"44";
when 52 => da <=X"3C";
when 53 => da <=X"35";
when 54 => da <=X"2E";
when 55 => da <=X"27";
when 56 => da <=X"21";
when 57 => da <=X"1B";
when 58 => da <=X"16";
when 59 => da <=X"11";
when 60 => da <=X"0D";
when 61 => da <=X"09";
when 62 => da <=X"06";
when 63 => da <=X"04";
when 64 => da <=X"02";
when 65 => da <=X"01";
when 66 => da <=X"00";
when 67 => da <=X"00";
when 68 => da <=X"01";
when 69 => da <=X"02";
when 70 => da <=X"04";
when 71 => da <=X"06";
when 72 => da <=X"09";
when 73 => da <=X"0D";
when 74 => da <=X"11";
when 75 => da <=X"16";
when 76 => da <=X"1B";
when 77 => da <=X"21";
when 78 => da <=X"27";
when 79 => da <=X"2E";
when 80 => da <=X"35";
when 81 => da <=X"3C";
when 82 => da <=X"44";
when 83 => da <=X"4C";
when 84 => da <=X"54";
when 85 => da <=X"5D";
when 86 => da <=X"65";
when 87 => da <=X"6E";
when 88 => da <=X"77";
when 89 => da <=X"80";
when others => da <=X"00";
end case ;
if count =89 then
count := 0;
else count:= count +1;
end if;
end if;
end process;
end sin_behav;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -