⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 cnt.vhd

📁 本源码用VHDL语言实现了用键盘控制米字管显示十进制
💻 VHD
字号:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity cnt is
	port( clk:in std_logic;
		  sel2,sel1,sel0:out std_logic);
end cnt;
architecture cnt_arch of cnt is
begin
process(clk)
     variable cn:std_logic_vector(2 downto 0);
	begin
	if clk'event and clk='1' then
	    if cn="111" then
		cn:="000";
	    else
		cn:=cn+1;
	    end if;
	end if;
             sel0<=cn(0);
             sel1<=cn(1);
             sel2<=cn(2);
	end process;
end cnt_arch; 
					

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -