⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 sub_tdm.qsf

📁 pci pci转local bus总线的应用
💻 QSF
字号:
# Copyright (C) 1991-2005 Altera Corporation
# Your use of Altera Corporation's design tools, logic functions 
# and other software and tools, and its AMPP partner logic       
# functions, and any output files any of the foregoing           
# (including device programming or simulation files), and any    
# associated documentation or information are expressly subject  
# to the terms and conditions of the Altera Program License      
# Subscription Agreement, Altera MegaCore Function License       
# Agreement, or other applicable license agreement, including,   
# without limitation, that your use is for the sole purpose of   
# programming logic devices manufactured by Altera and sold by   
# Altera or its authorized distributors.  Please refer to the    
# applicable agreement for further details.


# The default values for assignments are stored in the file
#		sub_tdm_assignment_defaults.qdf
# If this file doesn't exist, and for assignments not listed, see file
#		assignment_defaults.qdf

# Altera recommends that you do not modify this file. This
# file is updated automatically by the Quartus II software
# and any changes you make may be lost or overwritten.


# Project-Wide Assignments
# ========================
set_global_assignment -name INCREMENTAL_COMPILATION INCREMENTAL_SYNTHESIS
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 5.0
set_global_assignment -name PROJECT_CREATION_TIME_DATE "08:25:53  DECEMBER 15, 2005"
set_global_assignment -name LAST_QUARTUS_VERSION 5.0
set_global_assignment -name VERILOG_FILE INT_LED.v
set_global_assignment -name VECTOR_WAVEFORM_FILE Waveform1.vwf
set_global_assignment -name VERILOG_FILE IIC_RS232.v
set_global_assignment -name VERILOG_FILE IIC_SFP.v
set_global_assignment -name VERILOG_FILE top.v
set_global_assignment -name VERILOG_FILE RESET_GEN.v
set_global_assignment -name VERILOG_FILE parallel.v
set_global_assignment -name VERILOG_FILE fpga_misc.v
set_global_assignment -name VERILOG_FILE pci_top.v
set_global_assignment -name VERILOG_FILE "E:/232/pci_top.v"

# Pin & Location Assignments
# ==========================
set_location_assignment PIN_234 -to sfpiic_scl
set_location_assignment PIN_233 -to sfpiic_sda
set_location_assignment PIN_228 -to tri_sfpiic_sda1
set_location_assignment PIN_82 -to sfp_address1[0]
set_location_assignment PIN_83 -to sfp_address1[1]
set_location_assignment PIN_84 -to sfp_address1[2]
set_location_assignment PIN_23 -to pci_ad[31]
set_location_assignment PIN_108 -to pi_addr[8]
set_location_assignment PIN_113 -to pi_addr[9]
set_location_assignment PIN_114 -to pi_addr[10]
set_location_assignment PIN_115 -to pi_addr[11]
set_location_assignment PIN_116 -to pi_addr[12]
set_location_assignment PIN_117 -to pi_addr[13]
set_location_assignment PIN_118 -to pi_addr[14]
set_location_assignment PIN_119 -to pi_addr[15]
set_location_assignment PIN_120 -to pi_addr[16]
set_location_assignment PIN_121 -to pi_addr[17]
set_location_assignment PIN_122 -to pi_addr[18]
set_location_assignment PIN_123 -to pi_addr[19]
set_location_assignment PIN_124 -to pi_addr[20]
set_location_assignment PIN_125 -to pi_addr[21]
set_location_assignment PIN_133 -to pi_data[8]
set_location_assignment PIN_134 -to pi_data[1]
set_location_assignment PIN_136 -to pi_data[15]
set_location_assignment PIN_137 -to pi_data[7]
set_location_assignment PIN_138 -to pi_data[23]
set_location_assignment PIN_139 -to pi_data[12]
set_location_assignment PIN_140 -to pi_data[10]
set_location_assignment PIN_141 -to pi_data[3]
set_location_assignment PIN_144 -to pi_data[22]
set_location_assignment PIN_156 -to pi_data[16]
set_location_assignment PIN_158 -to pi_data[6]
set_location_assignment PIN_159 -to pi_data[4]
set_location_assignment PIN_160 -to pi_data[0]
set_location_assignment PIN_161 -to pi_data[30]
set_location_assignment PIN_162 -to pi_data[21]
set_location_assignment PIN_163 -to pi_data[14]
set_location_assignment PIN_164 -to pi_data[9]
set_location_assignment PIN_165 -to pi_data[5]
set_location_assignment PIN_166 -to pi_data[27]
set_location_assignment PIN_167 -to pi_data[20]
set_location_assignment PIN_168 -to pi_data[13]
set_location_assignment PIN_169 -to pi_data[2]
set_location_assignment PIN_170 -to pi_data[24]
set_location_assignment PIN_173 -to pi_data[18]
set_location_assignment PIN_174 -to pi_data[11]
set_location_assignment PIN_175 -to pi_data[29]
set_location_assignment PIN_176 -to pi_data[25]
set_location_assignment PIN_177 -to pi_data[17]
set_location_assignment PIN_178 -to pi_data[28]
set_location_assignment PIN_179 -to pi_data[19]
set_location_assignment PIN_180 -to pi_data[26]
set_location_assignment PIN_181 -to pi_data[31]
set_location_assignment PIN_59 -to pci_ad[1]
set_location_assignment PIN_60 -to pci_ad[0]
set_location_assignment PIN_56 -to pci_ad[4]
set_location_assignment PIN_57 -to pci_ad[3]
set_location_assignment PIN_58 -to pci_ad[2]
set_location_assignment PIN_53 -to pci_ad[7]
set_location_assignment PIN_54 -to pci_ad[6]
set_location_assignment PIN_55 -to pci_ad[5]
set_location_assignment PIN_49 -to pci_ad[9]
set_location_assignment PIN_50 -to pci_ad[8]
set_location_assignment PIN_42 -to pci_c_be_n[0]
set_location_assignment PIN_46 -to pci_ad[12]
set_location_assignment PIN_47 -to pci_ad[11]
set_location_assignment PIN_48 -to pci_ad[10]
set_location_assignment PIN_43 -to pci_ad[15]
set_location_assignment PIN_44 -to pci_ad[14]
set_location_assignment PIN_45 -to pci_ad[13]
set_location_assignment PIN_237 -to pci_serr_n
set_location_assignment PIN_236 -to pci_par
set_location_assignment PIN_41 -to pci_c_be_n[1]
set_location_assignment PIN_239 -to pci_perr_n
set_location_assignment PIN_2 -to pci_stop_n
set_location_assignment PIN_1 -to pci_trdy_n
set_location_assignment PIN_4 -to pci_irdy_n
set_location_assignment PIN_3 -to pci_frame_n
set_location_assignment PIN_7 -to pci_ad[18]
set_location_assignment PIN_6 -to pci_ad[17]
set_location_assignment PIN_5 -to pci_ad[16]
set_location_assignment PIN_39 -to pci_c_be_n[2]
set_location_assignment PIN_8 -to pci_ad[19]
set_location_assignment PIN_11 -to pci_ad[20]
set_location_assignment PIN_12 -to pci_ad[21]
set_location_assignment PIN_14 -to pci_ad[23]
set_location_assignment PIN_13 -to pci_ad[22]
set_location_assignment PIN_38 -to pci_c_be_n[3]
set_location_assignment PIN_17 -to pci_ad[26]
set_location_assignment PIN_16 -to pci_ad[25]
set_location_assignment PIN_15 -to pci_ad[24]
set_location_assignment PIN_21 -to pci_ad[30]
set_location_assignment PIN_20 -to pci_ad[29]
set_location_assignment PIN_19 -to pci_ad[28]
set_location_assignment PIN_18 -to pci_ad[27]
set_location_assignment PIN_240 -to pci_devsel_n
set_location_assignment PIN_127 -to cpu_clk
set_location_assignment PIN_28 -to pci_clk
set_location_assignment PIN_62 -to pci_gnt_n
set_location_assignment PIN_61 -to pci_req_n
set_location_assignment PIN_29 -to phy_clk
set_location_assignment PIN_186 -to phy_ref
set_location_assignment PIN_29 -to clk_25M
set_location_assignment PIN_132 -to cpu_cs_n
set_location_assignment PIN_131 -to cpu_oe_n
set_location_assignment PIN_126 -to cpu_ta_n
set_location_assignment PIN_135 -to cpu_ts_ale
set_location_assignment PIN_128 -to cpu_we_n
set_location_assignment PIN_227 -to dir
set_location_assignment PIN_184 -to cpld_cs
set_location_assignment PIN_182 -to cpld_rd
set_location_assignment PIN_185 -to cpld_wr
set_location_assignment PIN_100 -to pi_addr[0]
set_location_assignment PIN_101 -to pi_addr[1]
set_location_assignment PIN_102 -to pi_addr[2]
set_location_assignment PIN_103 -to pi_addr[3]
set_location_assignment PIN_104 -to pi_addr[4]
set_location_assignment PIN_105 -to pi_addr[5]
set_location_assignment PIN_106 -to pi_addr[6]
set_location_assignment PIN_107 -to pi_addr[7]
set_location_assignment PIN_187 -to lc1_mod_type[0]
set_location_assignment PIN_188 -to lc1_mod_type[1]
set_location_assignment PIN_193 -to lc1_mod_type[2]
set_location_assignment PIN_194 -to lc1_mod_type[3]
set_location_assignment PIN_199 -to lc2_mod_type[0]
set_location_assignment PIN_198 -to lc2_mod_type[1]
set_location_assignment PIN_197 -to lc2_mod_type[2]
set_location_assignment PIN_196 -to lc2_mod_type[3]
set_location_assignment PIN_202 -to present_n[0]
set_location_assignment PIN_203 -to present_n[1]
set_location_assignment PIN_74 -to rtc_ad[0]
set_location_assignment PIN_73 -to rtc_ad[1]
set_location_assignment PIN_68 -to rtc_ad[2]
set_location_assignment PIN_67 -to rtc_ad[3]
set_location_assignment PIN_66 -to rtc_ad[4]
set_location_assignment PIN_65 -to rtc_ad[5]
set_location_assignment PIN_64 -to rtc_ad[6]
set_location_assignment PIN_63 -to rtc_ad[7]
set_location_assignment PIN_76 -to rtc_as
set_location_assignment PIN_75 -to rtc_cs_n
set_location_assignment PIN_78 -to rtc_ds
set_location_assignment PIN_77 -to rtc_rw
set_location_assignment PIN_99 -to iic_scl
set_location_assignment PIN_98 -to iic_sda
set_location_assignment PIN_221 -to reset_5248
set_location_assignment PIN_222 -to reset_5464
set_location_assignment PIN_223 -to reset_5650
set_location_assignment PIN_224 -to reset_8245
set_location_assignment PIN_152 -to reset_n
set_location_assignment PIN_143 -to reset_rs232
set_location_assignment PIN_225 -to reset_sof
set_location_assignment PIN_93 -to f11_status1
set_location_assignment PIN_88 -to f11_status2
set_location_assignment PIN_87 -to f11_status3
set_location_assignment PIN_86 -to f11_status4
set_location_assignment PIN_97 -to f22_status1
set_location_assignment PIN_96 -to f22_status2
set_location_assignment PIN_95 -to f22_status3
set_location_assignment PIN_94 -to f22_status4
set_location_assignment PIN_204 -to g11_status1
set_location_assignment PIN_205 -to g11_status2
set_location_assignment PIN_206 -to g11_status3
set_location_assignment PIN_207 -to g11_status4
set_location_assignment PIN_215 -to g22_status1
set_location_assignment PIN_214 -to g22_status2
set_location_assignment PIN_213 -to g22_status3
set_location_assignment PIN_208 -to g22_status4
set_location_assignment PIN_226 -to fpga_int
set_location_assignment PIN_219 -to bcm5464_int_n[0]
set_location_assignment PIN_218 -to bcm5464_int_n[1]
set_location_assignment PIN_217 -to bcm5464_int_n[2]
set_location_assignment PIN_216 -to bcm5464_int_n[3]
set_location_assignment PIN_62 -to alarm_led
set_location_assignment PIN_61 -to role_led
set_location_assignment PIN_79 -to run_led
set_location_assignment PIN_220 -to reset_zl
set_location_assignment PIN_80 -to bcm5248_int[0]
set_location_assignment PIN_183 -to bcm5248_int[1]
set_location_assignment PIN_195 -to bcm5248_int[2]
set_location_assignment PIN_81 -to bcm5248_int[3]

# Analysis & Synthesis Assignments
# ================================
set_global_assignment -name DEVICE_FILTER_PACKAGE PQFP
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 240
set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 8
set_global_assignment -name FAMILY Cyclone
set_global_assignment -name TOP_LEVEL_ENTITY top
set_global_assignment -name USER_LIBRARIES "D:/altera/MegaCore/pci_compiler-v4.0.0/lib;C:/MegaCore/pci_compiler-v4.0.0/lib;"

# Fitter Assignments
# ==================
set_global_assignment -name DEVICE EP1C6Q240C8
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "AS OUTPUT DRIVING AN UNSPECIFIED SIGNAL"
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1

# EDA Netlist Writer Assignments
# ==============================
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim (Verilog)"

# Assembler Assignments
# =====================
set_global_assignment -name CYCLONE_CONFIGURATION_DEVICE EPCS1
set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"

# Simulator Assignments
# =====================
set_global_assignment -name SIMULATION_MODE FUNCTIONAL
set_global_assignment -name ADD_DEFAULT_PINS_TO_SIMULATION_OUTPUT_WAVEFORMS OFF
set_global_assignment -name SIMULATOR_GENERATE_SIGNAL_ACTIVITY_FILE OFF
set_global_assignment -name VECTOR_INPUT_SOURCE Waveform1.vwf

# ---------------------------------------
# start EDA_TOOL_SETTINGS(eda_simulation)

	# EDA Netlist Writer Assignments
	# ==============================
	set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VERILOG -section_id eda_simulation
	set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation

# end EDA_TOOL_SETTINGS(eda_simulation)
# -------------------------------------

# -----------------
# start ENTITY(top)

	# Fitter Assignments
	# ==================
	set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to sfpiic_scl
	set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to sfpiic_sda

	# ----------------------------------------------
	# start LOGICLOCK_REGION("pci_t32:pci_t32_inst")

		# LogicLock Region Assignments
		# ============================
		set_global_assignment -name LL_ORIGIN LAB_X1_Y1 -section_id "pci_t32:pci_t32_inst"
		set_global_assignment -name LL_HEIGHT 1 -section_id "pci_t32:pci_t32_inst"
		set_global_assignment -name LL_WIDTH 1 -section_id "pci_t32:pci_t32_inst"
		set_global_assignment -name LL_STATE FLOATING -section_id "pci_t32:pci_t32_inst"
		set_global_assignment -name LL_AUTO_SIZE ON -section_id "pci_t32:pci_t32_inst"
		set_global_assignment -name LL_RESERVED OFF -section_id "pci_t32:pci_t32_inst"
		set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "pci_t32:pci_t32_inst"
		set_global_assignment -name LL_SOFT OFF -section_id "pci_t32:pci_t32_inst"
		set_instance_assignment -name LL_MEMBER_OF "pci_t32:pci_t32_inst" -to "pci_top:pci|pci_t32:pci_t32_inst" -section_id "pci_t32:pci_t32_inst"

	# end LOGICLOCK_REGION("pci_t32:pci_t32_inst")
	# --------------------------------------------

	# ---------------------------
	# start DESIGN_PARTITION(Top)

		# Incremental Compilation Assignments
		# ===================================
		set_instance_assignment -name PARTITION_HIERARCHY no_file_for_top_partition -to | -section_id Top
		set_global_assignment -name PARTITION_NETLIST_TYPE POST_SYNTH -section_id Top

	# end DESIGN_PARTITION(Top)
	# -------------------------

# end ENTITY(top)
# ---------------

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -