📄 msim_transcript
字号:
# Reading C:/Modeltech_6.2g/tcl/vsim/pref.tcl
# // ModelSim SE 6.2g Feb 21 2007
# //
# // Copyright 1991-2007 Mentor Graphics Corporation
# // All Rights Reserved.
# //
# // THIS WORK CONTAINS TRADE SECRET AND
# // PROPRIETARY INFORMATION WHICH IS THE PROPERTY
# // OF MENTOR GRAPHICS CORPORATION OR ITS LICENSORS
# // AND IS SUBJECT TO LICENSE TERMS.
# //
# do ffti_run_msim_rtl_vhdl.do
# if ![file isdirectory vhdl_libs] {
# file mkdir vhdl_libs
# }
#
# vlib vhdl_libs/lpm
# ** Warning: (vlib-34) Library already exists at "vhdl_libs/lpm".
# vmap lpm vhdl_libs/lpm
# Copying C:\Modeltech_6.2g\win32/../modelsim.ini to modelsim.ini
# Modifying modelsim.ini
# ** Warning: Copied C:\Modeltech_6.2g\win32/../modelsim.ini to modelsim.ini.
# Updated modelsim.ini.
# vcom -93 -work lpm {c:/altera/71/quartus/eda/sim_lib/220pack.vhd}
# Model Technology ModelSim SE vcom 6.2g Compiler 2007.02 Feb 21 2007
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Compiling package lpm_components
# vcom -93 -work lpm {c:/altera/71/quartus/eda/sim_lib/220model.vhd}
# Model Technology ModelSim SE vcom 6.2g Compiler 2007.02 Feb 21 2007
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package textio
# -- Compiling package lpm_common_conversion
# -- Compiling package body lpm_common_conversion
# -- Loading package lpm_common_conversion
# -- Compiling package lpm_hint_evaluation
# -- Compiling package body lpm_hint_evaluation
# -- Loading package lpm_hint_evaluation
# -- Compiling package lpm_device_families
# -- Compiling package body lpm_device_families
# -- Loading package lpm_device_families
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Loading package lpm_components
# -- Compiling entity lpm_constant
# -- Compiling architecture lpm_syn of lpm_constant
# -- Compiling entity lpm_inv
# -- Compiling architecture lpm_syn of lpm_inv
# -- Compiling entity lpm_and
# -- Compiling architecture lpm_syn of lpm_and
# -- Compiling entity lpm_or
# -- Compiling architecture lpm_syn of lpm_or
# -- Compiling entity lpm_xor
# -- Compiling architecture lpm_syn of lpm_xor
# -- Compiling entity lpm_bustri
# -- Compiling architecture lpm_syn of lpm_bustri
# -- Compiling entity lpm_mux
# -- Compiling architecture lpm_syn of lpm_mux
# -- Compiling entity lpm_decode
# -- Compiling architecture lpm_syn of lpm_decode
# -- Compiling entity lpm_clshift
# -- Compiling architecture lpm_syn of lpm_clshift
# -- Loading package std_logic_signed
# -- Compiling entity lpm_add_sub_signed
# -- Compiling architecture lpm_syn of lpm_add_sub_signed
# -- Compiling entity lpm_add_sub_unsigned
# -- Compiling architecture lpm_syn of lpm_add_sub_unsigned
# -- Loading entity lpm_add_sub_signed
# -- Loading entity lpm_add_sub_unsigned
# -- Compiling entity lpm_add_sub
# -- Compiling architecture lpm_syn of lpm_add_sub
# -- Compiling entity lpm_compare_signed
# -- Compiling architecture lpm_syn of lpm_compare_signed
# -- Compiling entity lpm_compare_unsigned
# -- Compiling architecture lpm_syn of lpm_compare_unsigned
# -- Loading entity lpm_compare_signed
# -- Loading entity lpm_compare_unsigned
# -- Compiling entity lpm_compare
# -- Compiling architecture lpm_syn of lpm_compare
# -- Loading package lpm_hint_evaluation
# -- Compiling entity lpm_mult
# -- Compiling architecture lpm_syn of lpm_mult
# -- Compiling entity lpm_divide
# -- Compiling architecture behave of lpm_divide
# -- Compiling entity lpm_abs
# -- Compiling architecture lpm_syn of lpm_abs
# -- Loading package lpm_common_conversion
# -- Compiling entity lpm_counter
# -- Compiling architecture lpm_syn of lpm_counter
# -- Compiling entity lpm_latch
# -- Compiling architecture lpm_syn of lpm_latch
# -- Compiling entity lpm_ff
# -- Compiling architecture lpm_syn of lpm_ff
# -- Compiling entity lpm_shiftreg
# -- Compiling architecture lpm_syn of lpm_shiftreg
# -- Loading package lpm_device_families
# -- Compiling entity lpm_ram_dq
# -- Compiling architecture lpm_syn of lpm_ram_dq
# -- Compiling entity lpm_ram_dp
# -- Compiling architecture lpm_syn of lpm_ram_dp
# -- Compiling entity lpm_ram_io
# -- Compiling architecture lpm_syn of lpm_ram_io
# -- Compiling entity lpm_rom
# -- Compiling architecture lpm_syn of lpm_rom
# -- Compiling entity lpm_fifo
# -- Compiling architecture behavior of lpm_fifo
# -- Compiling entity lpm_fifo_dc_dffpipe
# -- Compiling architecture behavior of lpm_fifo_dc_dffpipe
# -- Compiling entity lpm_fifo_dc_fefifo
# -- Compiling architecture behavior of lpm_fifo_dc_fefifo
# -- Loading entity lpm_fifo_dc_fefifo
# -- Loading entity lpm_fifo_dc_dffpipe
# -- Compiling entity lpm_fifo_dc_async
# -- Compiling architecture behavior of lpm_fifo_dc_async
# -- Loading entity lpm_fifo_dc_async
# -- Compiling entity lpm_fifo_dc
# -- Compiling architecture behavior of lpm_fifo_dc
# -- Compiling entity lpm_inpad
# -- Compiling architecture lpm_syn of lpm_inpad
# -- Compiling entity lpm_outpad
# -- Compiling architecture lpm_syn of lpm_outpad
# -- Compiling entity lpm_bipad
# -- Compiling architecture lpm_syn of lpm_bipad
#
# vlib vhdl_libs/altera
# ** Warning: (vlib-34) Library already exists at "vhdl_libs/altera".
# vmap altera vhdl_libs/altera
# Modifying modelsim.ini
# vcom -93 -work altera {c:/altera/71/quartus/eda/sim_lib/altera_primitives_components.vhd}
# Model Technology ModelSim SE vcom 6.2g Compiler 2007.02 Feb 21 2007
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package vital_timing
# -- Loading package vital_primitives
# -- Compiling package dffeas_pack
# -- Loading package dffeas_pack
# -- Compiling package altera_primitives_components
# vcom -93 -work altera {c:/altera/71/quartus/eda/sim_lib/altera_primitives.vhd}
# Model Technology ModelSim SE vcom 6.2g Compiler 2007.02 Feb 21 2007
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Compiling entity global
# -- Compiling architecture behavior of global
# -- Compiling entity carry
# -- Compiling architecture behavior of carry
# -- Compiling entity cascade
# -- Compiling architecture behavior of cascade
# -- Compiling entity carry_sum
# -- Compiling architecture behavior of carry_sum
# -- Compiling entity exp
# -- Compiling architecture behavior of exp
# -- Compiling entity soft
# -- Compiling architecture behavior of soft
# -- Compiling entity opndrn
# -- Compiling architecture behavior of opndrn
# -- Compiling entity row_global
# -- Compiling architecture behavior of row_global
# -- Compiling entity tri
# -- Compiling architecture behavior of tri
# -- Compiling entity lut_input
# -- Compiling architecture behavior of lut_input
# -- Compiling entity lut_output
# -- Compiling architecture behavior of lut_output
# -- Compiling entity latch
# -- Compiling architecture behavior of latch
# -- Compiling entity dlatch
# -- Compiling architecture behavior of dlatch
# -- Compiling entity prim_gdff
# -- Compiling architecture behavior of prim_gdff
# -- Loading entity prim_gdff
# -- Compiling entity dff
# -- Compiling architecture behavior of dff
# -- Compiling entity dffe
# -- Compiling architecture behavior of dffe
# -- Compiling entity dffea
# -- Compiling architecture behavior of dffea
# -- Loading package vital_timing
# -- Loading package vital_primitives
# -- Loading package dffeas_pack
# -- Compiling entity dffeas
# -- Compiling architecture vital_dffeas of dffeas
# -- Compiling entity prim_gtff
# -- Compiling architecture behavior of prim_gtff
# -- Loading entity prim_gtff
# -- Compiling entity tff
# -- Compiling architecture behavior of tff
# -- Compiling entity tffe
# -- Compiling architecture behavior of tffe
# -- Compiling entity prim_gjkff
# -- Compiling architecture behavior of prim_gjkff
# -- Loading entity prim_gjkff
# -- Compiling entity jkff
# -- Compiling architecture behavior of jkff
# -- Compiling entity jkffe
# -- Compiling architecture behavior of jkffe
# -- Compiling entity prim_gsrff
# -- Compiling architecture behavior of prim_gsrff
# -- Loading entity prim_gsrff
# -- Compiling entity srff
# -- Compiling architecture behavior of srff
# -- Compiling entity srffe
# -- Compiling architecture behavior of srffe
# -- Compiling entity clklock
# -- Compiling architecture behavior of clklock
# -- Compiling entity alt_inbuf
# -- Compiling architecture behavior of alt_inbuf
# -- Compiling entity alt_outbuf
# -- Compiling architecture behavior of alt_outbuf
# -- Compiling entity alt_outbuf_tri
# -- Compiling architecture behavior of alt_outbuf_tri
# -- Compiling entity alt_iobuf
# -- Compiling architecture behavior of alt_iobuf
# -- Compiling entity alt_inbuf_diff
# -- Compiling architecture behavior of alt_inbuf_diff
# -- Compiling entity alt_outbuf_diff
# -- Compiling architecture behavior of alt_outbuf_diff
# -- Compiling entity alt_outbuf_tri_diff
# -- Compiling architecture behavior of alt_outbuf_tri_diff
# -- Compiling entity alt_iobuf_diff
# -- Compiling architecture behavior of alt_iobuf_diff
# -- Compiling entity alt_bidir_diff
# -- Compiling architecture behavior of alt_bidir_diff
# -- Compiling entity alt_bidir_buf
# -- Compiling architecture behavior of alt_bidir_buf
#
# vlib vhdl_libs/altera_mf
# ** Warning: (vlib-34) Library already exists at "vhdl_libs/altera_mf".
# vmap altera_mf vhdl_libs/altera_mf
# Modifying modelsim.ini
# vcom -93 -work altera_mf {c:/altera/71/quartus/eda/sim_lib/altera_mf_components.vhd}
# Model Technology ModelSim SE vcom 6.2g Compiler 2007.02 Feb 21 2007
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Compiling package altera_mf_components
# vcom -93 -work altera_mf {c:/altera/71/quartus/eda/sim_lib/altera_mf.vhd}
# Model Technology ModelSim SE vcom 6.2g Compiler 2007.02 Feb 21 2007
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Compiling entity lcell
# -- Compiling architecture behavior of lcell
# -- Loading package textio
# -- Compiling package altera_common_conversion
# -- Compiling package body altera_common_conversion
# -- Loading package altera_common_conversion
# -- Compiling package altera_mf_hint_evaluation
# -- Compiling package body altera_mf_hint_evaluation
# -- Loading package altera_mf_hint_evaluation
# -- Compiling package altera_device_families
# -- Compiling package body altera_device_families
# -- Loading package altera_device_families
# -- Compiling package mf_pllpack
# -- Compiling package body mf_pllpack
# -- Loading package mf_pllpack
# -- Compiling entity dffp
# -- Compiling architecture behave of dffp
# -- Compiling entity pll_iobuf
# -- Compiling architecture behavior of pll_iobuf
# -- Compiling entity mf_m_cntr
# -- Compiling architecture behave of mf_m_cntr
# -- Compiling entity mf_n_cntr
# -- Compiling architecture behave of mf_n_cntr
# -- Compiling entity stx_scale_cntr
# -- Compiling architecture behave of stx_scale_cntr
# -- Compiling entity mf_pll_reg
# -- Compiling architecture behave of mf_pll_reg
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -