📄 dma.vhd
字号:
used by /tmp/build_html/vhdl/tech/tech_tsmc25.vhd
used by /tmp/build_html/vhdl/tech/tech_tsmc25.vhd
used by /tmp/build_html/vhdl/tech/tech_tsmc25.vhd
used by /tmp/build_html/vhdl/tech/tech_tsmc25.vhd
used by /tmp/build_html/vhdl/tech/tech_proasic.vhd
used by /tmp/build_html/vhdl/tech/tech_proasic.vhd
used by /tmp/build_html/vhdl/tech/tech_proasic.vhd
used by /tmp/build_html/vhdl/tech/tech_proasic.vhd
used by /tmp/build_html/vhdl/tech/tech_axcel.vhd
used by /tmp/build_html/vhdl/tech/tech_axcel.vhd
used by /tmp/build_html/vhdl/tech/tech_axcel.vhd
used by /tmp/build_html/vhdl/tech/tech_axcel.vhd
used by /tmp/build_html/vhdl/tech/tech_axcel.vhd
used by /tmp/build_html/vhdl/tech/tech_map.vhd
used by /tmp/build_html/vhdl/tech/tech_map.vhd
used by /tmp/build_html/vhdl/tech/tech_map.vhd
used by /tmp/build_html/vhdl/tech/tech_map.vhd
used by /tmp/build_html/vhdl/tech/tech_map.vhd
used by /tmp/build_html/vhdl/tech/tech_map.vhd
used by /tmp/build_html/vhdl/libs/int.vhd
used by /tmp/build_html/vhdl/core/ctrl/ctrl_comp.vhd
used by /tmp/build_html/vhdl/core/ctrl/irqctrl2.vhd
used by /tmp/build_html/vhdl/peripherals/mem/peri_mem_comp.vhd
used by /tmp/build_html/vhdl/arm/armiu_drstg.vhd
used by /tmp/build_html/vhdl/arm/arm_proc.vhd
used by /tmp/build_html/vhdl/bus/ahbarb.vhd
used by /tmp/build_html/vhdl/core/soc_gen.vhd
used by /tmp/build_html/vhdl/core/ctrl/rstgen.vhd
used by /tmp/build_html/vhdl/tbench/mem/iram.vhd
used by /tmp/build_html/vhdl/peripherals/mem/wprot.vhd
used by /tmp/build_html/vhdl/peripherals/serial/uart.vhd
used by /tmp/build_html/vhdl/sparc/acache.vhd
used by /tmp/build_html/vhdl/sparc/ahbmst.vhd
used by /tmp/build_html/vhdl/sparc/ahbram.vhd
used by /tmp/build_html/vhdl/sparc/ahbstat.vhd
used by /tmp/build_html/vhdl/sparc/ahbtest.vhd
used by /tmp/build_html/vhdl/sparc/ambacomp.vhd
used by /tmp/build_html/vhdl/sparc/cache.vhd
used by /tmp/build_html/vhdl/sparc/cachemem.vhd
used by /tmp/build_html/vhdl/sparc/dcache.vhd
used by /tmp/build_html/vhdl/sparc/dcom.vhd
used by /tmp/build_html/vhdl/sparc/dcom_uart.vhd
used by /tmp/build_html/vhdl/sparc/debug.vhd
used by /tmp/build_html/vhdl/sparc/div.vhd
used by /tmp/build_html/vhdl/sparc/dma.vhd
used by /tmp/build_html/vhdl/sparc/dsu.vhd
used by /tmp/build_html/vhdl/sparc/dsu_mem.vhd
used by /tmp/build_html/vhdl/sparc/fp.vhd
used by /tmp/build_html/vhdl/sparc/fp.vhd
used by /tmp/build_html/vhdl/sparc/fp1eu.vhd
used by /tmp/build_html/vhdl/sparc/fpulib.vhd
used by /tmp/build_html/vhdl/sparc/fpu_core.vhd
used by /tmp/build_html/vhdl/sparc/fpu_lth.vhd
used by /tmp/build_html/vhdl/sparc/grfpc.vhd
used by /tmp/build_html/vhdl/sparc/icache.vhd
used by /tmp/build_html/vhdl/sparc/iu.vhd
used by /tmp/build_html/vhdl/sparc/lconf.vhd
used by /tmp/build_html/vhdl/sparc/leon.vhd
used by /tmp/build_html/vhdl/sparc/leon_eth.vhd
used by /tmp/build_html/vhdl/sparc/leon_eth_pci.vhd
used by /tmp/build_html/vhdl/sparc/libs/sparcdecode.vhd
used by /tmp/build_html/vhdl/sparc/mcore.vhd
used by /tmp/build_html/vhdl/sparc/meiko.vhd
used by /tmp/build_html/vhdl/sparc/mmu.vhd
used by /tmp/build_html/vhdl/sparc/mmulru.vhd
used by /tmp/build_html/vhdl/sparc/mmulrue.vhd
used by /tmp/build_html/vhdl/sparc/mmutlb.vhd
used by /tmp/build_html/vhdl/sparc/mmutlbcam.vhd
used by /tmp/build_html/vhdl/sparc/mmutw.vhd
used by /tmp/build_html/vhdl/sparc/mmu_acache.vhd
used by /tmp/build_html/vhdl/sparc/mmu_cache.vhd
used by /tmp/build_html/vhdl/sparc/mmu_dcache.vhd
used by /tmp/build_html/vhdl/sparc/mmu_icache.vhd
used by /tmp/build_html/vhdl/sparc/mul.vhd
used by /tmp/build_html/vhdl/sparc/multlib.vhd
used by /tmp/build_html/vhdl/sparc/multlib.vhd
used by /tmp/build_html/vhdl/sparc/multlib.vhd
used by /tmp/build_html/vhdl/sparc/pci_arb.vhd
used by /tmp/build_html/vhdl/sparc/pci_arb.vhd
used by /tmp/build_html/vhdl/sparc/pci_gr.vhd
used by /tmp/build_html/vhdl/sparc/pci_is.vhd
used by /tmp/build_html/vhdl/sparc/pci_oc.vhd
used by /tmp/build_html/vhdl/sparc/pci_test.vhd
Enum dma_state_type defined in /tmp/build_html/vhdl/sparc/dma.vhd
type dma_state_type is (readc, writec);
Type reg_type defined in /tmp/build_html/vhdl/sparc/pci_oc.vhd
type reg_type is record
ahbslv_state : ahbslv_state_type;
ahbmst_state : ahbmst_state_type;
ahbslv : ahbslv_reg_type;
ahbmst : ahbmst_reg_type;
rdata : std_logic_vector(31 downto 0);
wb : wb_reg_type;
-- AHB2WBCtrl : std_logic_vector(31 downto 0); --31:29=WB_TA,0=WB_TA enable,
end record;
Enum ahbmst_state_type defined in /tmp/build_html/vhdl/sparc/pci_oc.vhd
type ahbmst_state_type is (idle, req, respond);
Enum txfsmtype defined in /tmp/build_html/vhdl/sparc/dcom_uart.vhd
type txfsmtype is (idle, data, stopbit);
Enum fpu_state defined in /tmp/build_html/vhdl/sparc/fpu_lth.vhd
type fpu_state is (start, get_operand, pre_norm, add_sub, post_norm,
rnd_norm, hold_val);
Type ahb_dma_in_type defined in /tmp/build_html/vhdl/sparc/leon_iface.vhd
type ahb_dma_in_type is record
address : std_logic_vector(31 downto 0);
wdata : std_logic_vector(31 downto 0);
start : std_logic;
burst : std_logic;
write : std_logic;
size : std_logic_vector(1 downto 0);
end record;
Enum mcycletype defined in /tmp/build_html/vhdl/peripherals/mem/sdmctrl.vhd
type mcycletype is (midle, active, leadout);
Enum slavestate defined in /tmp/build_html/vhdl/sparc/ahbtest.vhd
type slavestate is (idle, error, split, retry, ws1);
Type ahb_dma_out_type defined in /tmp/build_html/vhdl/sparc/leon_iface.vhd
type ahb_dma_out_type is record
start : std_logic;
active : std_logic;
ready : std_logic;
retry : std_logic;
mexc : std_logic;
haddr : std_logic_vector(9 downto 0);
rdata : std_logic_vector(31 downto 0);
end record;
Function genmux defined in /tmp/build_html/vhdl/sparc/macro.vhd
function genmux(s,v : std_logic_vector) return std_logic is
variable res : std_logic_vector(v'length-1 downto 0); --'
variable i : integer;
begin
res := v;
-- pragma translate_off
i := 0;
if not is_x(s) then
-- pragma translate_on
i := conv_integer(unsigned(s));
-- pragma translate_off
else
res := (others => 'X');
end if;
-- pragma translate_on
return(res(i));
end;
Function xorv defined in /tmp/build_html/vhdl/sparc/macro.vhd
function xorv(d : std_logic_vector) return std_logic is
variable tmp : std_logic;
begin
tmp := '0';
for i in d'range loop tmp := tmp xor d(i); end loop; --'
return(tmp);
end;
Function orv defined in /tmp/build_html/vhdl/sparc/macro.vhd
function orv(d : std_logic_vector) return std_logic is
variable tmp : std_logic;
begin
tmp := '0';
for i in d'range loop tmp := tmp or d(i); end loop; --'
return(tmp);
end;
Constant s012 defined in /tmp/build_html/vhdl/mem/cache/libs/setrepl_lib.vhd
constant s012 : std_logic_vector(2 downto 0) := "000";
Constant s021 defined in /tmp/build_html/vhdl/mem/cache/libs/setrepl_lib.vhd
constant s021 : std_logic_vector(2 downto 0) := "001";
Constant s102 defined in /tmp/build_html/vhdl/mem/cache/libs/setrepl_lib.vhd
constant s102 : std_logic_vector(2 downto 0) := "010";
Constant s120 defined in /tmp/build_html/vhdl/mem/cache/libs/setrepl_lib.vhd
constant s120 : std_logic_vector(2 downto 0) := "011";
Constant s201 defined in /tmp/build_html/vhdl/mem/cache/libs/setrepl_lib.vhd
constant s201 : std_logic_vector(2 downto 0) := "100";
Constant s210 defined in /tmp/build_html/vhdl/mem/cache/libs/setrepl_lib.vhd
constant s210 : std_logic_vector(2 downto 0) := "101";
Constant s0123 defined in /tmp/build_html/vhdl/mem/cache/libs/setrepl_lib.vhd
constant s0123 : std_logic_vector(4 downto 0) := "00000";
Constant s0132 defined in /tmp/build_html/vhdl/mem/cache/libs/setrepl_lib.vhd
constant s0132 : std_logic_vector(4 downto 0) := "00001";
Constant s0213 defined in /tmp/build_html/vhdl/mem/cache/libs/setrepl_lib.vhd
constant s0213 : std_logic_vector(4 downto 0) := "00010";
Constant s0231 defined in /tmp/build_html/vhdl/mem/cache/libs/setrepl_lib.vhd
constant s0231 : std_logic_vector(4 downto 0) := "00011";
Constant s0312 defined in /tmp/build_html/vhdl/mem/cache/libs/setrepl_lib.vhd
constant s0312 : std_logic_vector(4 downto 0) := "00100";
Constant s0321 defined in /tmp/build_html/vhdl/mem/cache/libs/setrepl_lib.vhd
constant s0321 : std_logic_vector(4 downto 0) := "00101";
Constant s1023 defined in /tmp/build_html/vhdl/mem/cache/libs/setrepl_lib.vhd
constant s1023 : std_logic_vector(4 downto 0) := "00110";
Constant s1032 defined in /tmp/build_html/vhdl/mem/cache/libs/setrepl_lib.vhd
constant s1032 : std_logic_vector(4 downto 0) := "00111";
Constant s1203 defined in /tmp/build_html/vhdl/mem/cache/libs/setrepl_lib.vhd
constant s1203 : std_logic_vector(4 downto 0) := "01000";
Constant s1230 defined in /tmp/build_html/vhdl/mem/cache/libs/setrepl_lib.vhd
constant s1230 : std_logic_vector(4 downto 0) := "01001";
Constant s1302 defined in /tmp/build_html/vhdl/mem/cache/libs/setrepl_lib.vhd
constant s1302 : std_logic_vector(4 downto 0) := "01010";
Constant s1320 defined in /tmp/build_html/vhdl/mem/cache/libs/setrepl_lib.vhd
constant s1320 : std_logic_vector(4 downto 0) := "01011";
Constant s2013 defined in /tmp/build_html/vhdl/mem/cache/libs/setrepl_lib.vhd
constant s2013 : std_logic_vector(4 downto 0) := "01100";
Constant s2031 defined in /tmp/build_html/vhdl/mem/cache/libs/setrepl_lib.vhd
constant s2031 : std_logic_vector(4 downto 0) := "01101";
Constant s2103 defined in /tmp/build_html/vhdl/mem/cache/libs/setrepl_lib.vhd
constant s2103 : std_logic_vector(4 downto 0) := "01110";
Constant s2130 defined in /tmp/build_html/vhdl/mem/cache/libs/setrepl_lib.vhd
constant s2130 : std_logic_vector(4 downto 0) := "01111";
Constant s2301 defined in /tmp/build_html/vhdl/mem/cache/libs/setrepl_lib.vhd
constant s2301 : std_logic_vector(4 downto 0) := "10000";
Constant s2310 defined in /tmp/build_html/vhdl/mem/cache/libs/setrepl_lib.vhd
constant s2310 : std_logic_vector(4 downto 0) := "10001";
Constant s3012 defined in /tmp/build_html/vhdl/mem/cache/libs/setrepl_lib.vhd
constant s3012 : std_logic_vector(4 downto 0) := "10010";
Constant s3021 defined in /tmp/build_html/vhdl/mem/cache/libs/setrepl_lib.vhd
constant s3021 : std_logic_vector(4 downto 0) := "10011";
Constant s3102 defined in /tmp/build_html/vhdl/mem/cache/libs/setrepl_lib.vhd
constant s3102 : std_logic_vector(4 downto 0) := "10100";
Constant s3120 defined in /tmp/build_html/vhdl/mem/cache/libs/setrepl_lib.vhd
constant s3120 : std_logic_vector(4 downto 0) := "10101";
Constant s3201 defined in /tmp/build_html/vhdl/mem/cache/libs/setrepl_lib.vhd
constant s3201 : std_logic_vector(4 downto 0) := "10110";
Constant s3210 defined in /tmp/build_html/vhdl/mem/cache/libs/setrepl_lib.vhd
constant s3210 : std_logic_vector(4 downto 0) := "10111";
Constant lru_3set_table defined in /tmp/build_html/vhdl/mem/cache/libs/setrepl_lib.vhd
constant lru_3set_table : lru_3set_table_type :=
( (s120, s021, s012), -- s012
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -