📄 pci_is.vhd.bak
字号:
used by /tmp/build_html/vhdl/tech/tech_proasic.vhd
used by /tmp/build_html/vhdl/tech/tech_proasic.vhd
used by /tmp/build_html/vhdl/tech/tech_axcel.vhd
used by /tmp/build_html/vhdl/tech/tech_axcel.vhd
used by /tmp/build_html/vhdl/tech/tech_axcel.vhd
used by /tmp/build_html/vhdl/tech/tech_axcel.vhd
used by /tmp/build_html/vhdl/tech/tech_axcel.vhd
used by /tmp/build_html/vhdl/tech/tech_map.vhd
used by /tmp/build_html/vhdl/tech/tech_map.vhd
used by /tmp/build_html/vhdl/tech/tech_map.vhd
used by /tmp/build_html/vhdl/tech/tech_map.vhd
used by /tmp/build_html/vhdl/tech/tech_map.vhd
used by /tmp/build_html/vhdl/tech/tech_map.vhd
used by /tmp/build_html/vhdl/libs/int.vhd
used by /tmp/build_html/vhdl/core/ctrl/ctrl_comp.vhd
used by /tmp/build_html/vhdl/core/ctrl/irqctrl2.vhd
used by /tmp/build_html/vhdl/peripherals/mem/peri_mem_comp.vhd
used by /tmp/build_html/vhdl/arm/armiu_drstg.vhd
used by /tmp/build_html/vhdl/arm/arm_proc.vhd
used by /tmp/build_html/vhdl/bus/ahbarb.vhd
used by /tmp/build_html/vhdl/core/soc_gen.vhd
used by /tmp/build_html/vhdl/core/ctrl/rstgen.vhd
used by /tmp/build_html/vhdl/tbench/mem/iram.vhd
used by /tmp/build_html/vhdl/peripherals/mem/wprot.vhd
used by /tmp/build_html/vhdl/peripherals/serial/uart.vhd
used by /tmp/build_html/vhdl/sparc/acache.vhd
used by /tmp/build_html/vhdl/sparc/ahbmst.vhd
used by /tmp/build_html/vhdl/sparc/ahbram.vhd
used by /tmp/build_html/vhdl/sparc/ahbstat.vhd
used by /tmp/build_html/vhdl/sparc/ahbtest.vhd
used by /tmp/build_html/vhdl/sparc/ambacomp.vhd
used by /tmp/build_html/vhdl/sparc/cache.vhd
used by /tmp/build_html/vhdl/sparc/cachemem.vhd
used by /tmp/build_html/vhdl/sparc/dcache.vhd
used by /tmp/build_html/vhdl/sparc/dcom.vhd
used by /tmp/build_html/vhdl/sparc/dcom_uart.vhd
used by /tmp/build_html/vhdl/sparc/debug.vhd
used by /tmp/build_html/vhdl/sparc/div.vhd
used by /tmp/build_html/vhdl/sparc/dma.vhd
used by /tmp/build_html/vhdl/sparc/dsu.vhd
used by /tmp/build_html/vhdl/sparc/dsu_mem.vhd
used by /tmp/build_html/vhdl/sparc/fp.vhd
used by /tmp/build_html/vhdl/sparc/fp.vhd
used by /tmp/build_html/vhdl/sparc/fp1eu.vhd
used by /tmp/build_html/vhdl/sparc/fpulib.vhd
used by /tmp/build_html/vhdl/sparc/fpu_core.vhd
used by /tmp/build_html/vhdl/sparc/fpu_lth.vhd
used by /tmp/build_html/vhdl/sparc/grfpc.vhd
used by /tmp/build_html/vhdl/sparc/icache.vhd
used by /tmp/build_html/vhdl/sparc/iu.vhd
used by /tmp/build_html/vhdl/sparc/lconf.vhd
used by /tmp/build_html/vhdl/sparc/leon.vhd
used by /tmp/build_html/vhdl/sparc/leon_eth.vhd
used by /tmp/build_html/vhdl/sparc/leon_eth_pci.vhd
used by /tmp/build_html/vhdl/sparc/libs/sparcdecode.vhd
used by /tmp/build_html/vhdl/sparc/mcore.vhd
used by /tmp/build_html/vhdl/sparc/meiko.vhd
used by /tmp/build_html/vhdl/sparc/mmu.vhd
used by /tmp/build_html/vhdl/sparc/mmulru.vhd
used by /tmp/build_html/vhdl/sparc/mmulrue.vhd
used by /tmp/build_html/vhdl/sparc/mmutlb.vhd
used by /tmp/build_html/vhdl/sparc/mmutlbcam.vhd
used by /tmp/build_html/vhdl/sparc/mmutw.vhd
used by /tmp/build_html/vhdl/sparc/mmu_acache.vhd
used by /tmp/build_html/vhdl/sparc/mmu_cache.vhd
used by /tmp/build_html/vhdl/sparc/mmu_dcache.vhd
used by /tmp/build_html/vhdl/sparc/mmu_icache.vhd
used by /tmp/build_html/vhdl/sparc/mul.vhd
used by /tmp/build_html/vhdl/sparc/multlib.vhd
used by /tmp/build_html/vhdl/sparc/multlib.vhd
used by /tmp/build_html/vhdl/sparc/multlib.vhd
used by /tmp/build_html/vhdl/sparc/pci_arb.vhd
used by /tmp/build_html/vhdl/sparc/pci_arb.vhd
used by /tmp/build_html/vhdl/sparc/pci_gr.vhd
used by /tmp/build_html/vhdl/sparc/pci_is.vhd
used by /tmp/build_html/vhdl/sparc/pci_oc.vhd
used by /tmp/build_html/vhdl/sparc/pci_test.vhd
File /tmp/build_html/vhdl/sparc/pci_is.vhd
Enum ahbslv_state_type defined in /tmp/build_html/vhdl/sparc/pci_oc.vhd
type ahbslv_state_type is (idle, strobe, respond, rty, doreturn);
Constant PAMAX defined in /tmp/build_html/vhdl/bus/amba.vhd
constant PAMAX: Positive range 8 to 32 := 32;
Constant PDMAX defined in /tmp/build_html/vhdl/bus/amba.vhd
constant PDMAX: Positive range 8 to 32 := 32;
Enum ahbmst_state_type defined in /tmp/build_html/vhdl/sparc/pci_oc.vhd
type ahbmst_state_type is (idle, req, respond);
Enum txfsmtype defined in /tmp/build_html/vhdl/sparc/dcom_uart.vhd
type txfsmtype is (idle, data, stopbit);
Type APB_Slv_In_Type defined in /tmp/build_html/vhdl/bus/amba.vhd
type APB_Slv_In_Type is
record
PSEL: Std_ULogic; -- slave select
PENABLE: Std_ULogic; -- strobe
PADDR: Std_Logic_Vector(PAMAX-1 downto 0); -- address bus (byte)
PWRITE: Std_ULogic; -- write
PWDATA: Std_Logic_Vector(PDMAX-1 downto 0); -- write data bus
end record;
Type APB_Slv_Out_Type defined in /tmp/build_html/vhdl/bus/amba.vhd
type APB_Slv_Out_Type is
record
PRDATA: Std_Logic_Vector(PDMAX-1 downto 0); -- read data bus
end record;
File /tmp/build_html/vhdl/sparc/dma.vhd
Type pci_in_type defined in /tmp/build_html/vhdl/sparc/leon_iface.vhd
type pci_in_type is record
pci_rst_in_n : std_logic;
pci_gnt_in_n : std_logic;
pci_idsel_in : std_logic;
pci_adin : std_logic_vector(31 downto 0);
pci_cbein_n : std_logic_vector(3 downto 0);
pci_frame_in_n : std_logic;
pci_irdy_in_n : std_logic;
pci_trdy_in_n : std_logic;
pci_devsel_in_n : std_logic;
pci_stop_in_n : std_logic;
pci_lock_in_n : std_logic;
pci_perr_in_n : std_logic;
pci_serr_in_n : std_logic;
pci_par_in : std_logic;
pci_host : std_logic;
pci_66 : std_logic;
pme_status : std_logic;
end record;
Type pci_out_type defined in /tmp/build_html/vhdl/sparc/leon_iface.vhd
type pci_out_type is record
pci_aden_n : std_logic_vector(31 downto 0);
pci_cbe0_en_n : std_logic;
pci_cbe1_en_n : std_logic;
pci_cbe2_en_n : std_logic;
pci_cbe3_en_n : std_logic;
pci_frame_en_n : std_logic;
pci_irdy_en_n : std_logic;
pci_trdy_en_n : std_logic;
pci_devsel_en_n : std_logic;
pci_stop_en_n : std_logic;
pci_ctrl_en_n : std_logic;
pci_perr_en_n : std_logic;
pci_par_en_n : std_logic;
pci_req_en_n : std_logic;
pci_lock_en_n : std_logic;
pci_serr_en_n : std_logic;
pci_int_en_n : std_logic;
pci_req_out_n : std_logic;
pci_adout : std_logic_vector(31 downto 0);
pci_cbeout_n : std_logic_vector(3 downto 0);
pci_frame_out_n : std_logic;
pci_irdy_out_n : std_logic;
pci_trdy_out_n : std_logic;
pci_devsel_out_n : std_logic;
pci_stop_out_n : std_logic;
pci_perr_out_n : std_logic;
pci_serr_out_n : std_logic;
pci_par_out : std_logic;
pci_lock_out_n : std_logic;
power_state : std_logic_vector(1 downto 0);
pme_enable : std_logic;
pme_clear : std_logic;
pci_int_out_n : std_logic;
end record;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -