📄 pci_is.vhd.bak
字号:
-------------------------------------------------------------------------------
-- Title : PCI interface for LEON processor
-- Project : pci4leon
-------------------------------------------------------------------------------
-- File : pci.vhd
-- Author : Roland Weigand <weigand@ws.estec.esa.nl>
-- Created : 2000/02/29
-- Last modified : 2000/02/29
-------------------------------------------------------------------------------
-- Description :
-- This Unit is the top level of the PCI interface. It is connected
-- to the peripheral bus of LEON and the DMA port.
-- PCI ports must be connected to the top level pads.
-- It includes the Phoenix/In-Silicon PCI core
-------------------------------------------------------------------------------
-- THIS IS JUST A DUMMY VERSION TO TEST THE LEON/AHB INTERFACE
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use work.amba.all;
use work.leon_iface.all;
entity pci_is is
port (
rst_n : in std_logic;
pciresetn : in std_logic;
app_clk : in clk_type;
pci_clk : in clk_type;
-- peripheral bus
pbi : in APB_Slv_In_Type; -- peripheral bus in
pbo : out APB_Slv_Out_Type; -- peripheral bus out
irq : out std_logic; -- interrupt request
-- PCI-Target DMA-Port = AHB master
TargetMasterOut : out ahb_mst_out_type; -- dma port out
TargetMasterIn : in ahb_mst_in_type; -- dma port in
-- TargetAsi : out std_logic_vector(3 downto 0); -- sparc ASI
-- PCI PORTS for top level
pci_in : in pci_in_type; -- PCI bus inputs
pci_out : out pci_out_type; -- PCI bus outputs
-- PCI-Initiator Word-Interface = AHB slave
InitSlaveOut : out ahb_slv_out_type; -- Direct initiator I/F
InitSlaveIn : in ahb_slv_in_type; -- Direct initiator I/F
-- PCI-Intitiator DMA-Port = AHB master
InitMasterOut : out ahb_mst_out_type; -- dma port out
InitMasterIn : in ahb_mst_in_type -- dma port in
-- InitAsi : out std_logic_vector(3 downto 0); -- sparc ASI
);
end;
architecture struct of pci_is is
begin
InitMasterOut.haddr <= (others => '0') ;
InitMasterOut.htrans <= HTRANS_IDLE;
InitMasterOut.hbusreq <= '0';
InitMasterOut.hwdata <= (others => '0');
InitMasterOut.hlock <= '0';
InitMasterOut.hwrite <= '0';
InitMasterOut.hsize <= HSIZE_WORD;
InitMasterOut.hburst <= HBURST_SINGLE;
InitMasterOut.hprot <= (others => '0');
TargetMasterOut.haddr <= (others => '0') ;
TargetMasterOut.htrans <= HTRANS_IDLE;
TargetMasterOut.hbusreq <= '0';
TargetMasterOut.hwdata <= (others => '0');
TargetMasterOut.hlock <= '0';
TargetMasterOut.hwrite <= '0';
TargetMasterOut.hsize <= HSIZE_WORD;
TargetMasterOut.hburst <= HBURST_SINGLE;
TargetMasterOut.hprot <= (others => '0');
InitSlaveOut.hrdata <= (others => '0');
InitSlaveOut.hready <= '1';
InitSlaveOut.hresp <= HRESP_OKAY;
irq <= '0';
end;
File /tmp/build_html/vhdl/sparc/pci.vhd
File /tmp/build_html/vhdl/core/core.vhd
File /tmp/build_html/vhdl/bus/amba.vhd
used by /tmp/build_html/vhdl/libs/memdef.vhd
used by /tmp/build_html/vhdl/core/ctrl/ctrl_comp.vhd
used by /tmp/build_html/vhdl/core/ctrl/irqctrl.vhd
used by /tmp/build_html/vhdl/core/ctrl/irqctrl2.vhd
used by /tmp/build_html/vhdl/core/ctrl/timers.vhd
used by /tmp/build_html/vhdl/core/core_config.vhd
used by /tmp/build_html/vhdl/peripherals/serial/peri_serial_comp.vhd
used by /tmp/build_html/vhdl/peripherals/io/peri_io_comp.vhd
used by /tmp/build_html/vhdl/peripherals/mem/sdmctrl.vhd
used by /tmp/build_html/vhdl/peripherals/mem/mctrl.vhd
used by /tmp/build_html/vhdl/peripherals/mem/mctrl.vhd
used by /tmp/build_html/vhdl/bus/bus_comp.vhd
used by /tmp/build_html/vhdl/mem/cache/libs/genwb_lib.vhd
used by /tmp/build_html/vhdl/mem/cache/gendc.vhd
used by /tmp/build_html/vhdl/arm/libs/armpctrl.vhd
used by /tmp/build_html/vhdl/arm/armiu.vhd
used by /tmp/build_html/vhdl/bus/ahbarb.vhd
used by /tmp/build_html/vhdl/bus/apbmst.vhd
used by /tmp/build_html/vhdl/bus/apbmst.vhd
used by /tmp/build_html/vhdl/peripherals/io/ioport.vhd
used by /tmp/build_html/vhdl/peripherals/mem/wprot.vhd
used by /tmp/build_html/vhdl/peripherals/net/eth_oc.vhd
used by /tmp/build_html/vhdl/peripherals/serial/uart.vhd
used by /tmp/build_html/vhdl/sparc/acache.vhd
used by /tmp/build_html/vhdl/sparc/ahbmst.vhd
used by /tmp/build_html/vhdl/sparc/ahbram.vhd
used by /tmp/build_html/vhdl/sparc/ahbstat.vhd
used by /tmp/build_html/vhdl/sparc/ahbtest.vhd
used by /tmp/build_html/vhdl/sparc/ambacomp.vhd
used by /tmp/build_html/vhdl/sparc/cache.vhd
used by /tmp/build_html/vhdl/sparc/dcache.vhd
used by /tmp/build_html/vhdl/sparc/dcom.vhd
used by /tmp/build_html/vhdl/sparc/dcom_uart.vhd
used by /tmp/build_html/vhdl/sparc/dma.vhd
used by /tmp/build_html/vhdl/sparc/dsu.vhd
used by /tmp/build_html/vhdl/sparc/icache.vhd
used by /tmp/build_html/vhdl/sparc/lconf.vhd
used by /tmp/build_html/vhdl/sparc/mcore.vhd
used by /tmp/build_html/vhdl/sparc/mmu_acache.vhd
used by /tmp/build_html/vhdl/sparc/mmu_cache.vhd
used by /tmp/build_html/vhdl/sparc/mmu_dcache.vhd
used by /tmp/build_html/vhdl/sparc/mmu_icache.vhd
used by /tmp/build_html/vhdl/sparc/pci.vhd
used by /tmp/build_html/vhdl/sparc/pci_arb.vhd
used by /tmp/build_html/vhdl/sparc/pci_gr.vhd
used by /tmp/build_html/vhdl/sparc/pci_is.vhd
used by /tmp/build_html/vhdl/sparc/pci_oc.vhd
used by /tmp/build_html/vhdl/sparc/pci_oc.vhd
used by /tmp/build_html/vhdl/sparc/proc.vhd
File /tmp/build_html/vhdl/sparc/leon_iface.vhd
used by /tmp/build_html/vhdl/tech/tech_generic.vhd
used by /tmp/build_html/vhdl/tech/tech_generic.vhd
used by /tmp/build_html/vhdl/tech/tech_generic.vhd
used by /tmp/build_html/vhdl/tech/tech_generic.vhd
used by /tmp/build_html/vhdl/tech/tech_generic.vhd
used by /tmp/build_html/vhdl/tech/tech_generic.vhd
used by /tmp/build_html/vhdl/tech/tech_generic.vhd
used by /tmp/build_html/vhdl/tech/tech_generic.vhd
used by /tmp/build_html/vhdl/tech/tech_atc25.vhd
used by /tmp/build_html/vhdl/tech/tech_atc25.vhd
used by /tmp/build_html/vhdl/tech/tech_atc25.vhd
used by /tmp/build_html/vhdl/tech/tech_atc25.vhd
used by /tmp/build_html/vhdl/tech/tech_atc25.vhd
used by /tmp/build_html/vhdl/tech/tech_atc25.vhd
used by /tmp/build_html/vhdl/tech/tech_atc18.vhd
used by /tmp/build_html/vhdl/tech/tech_atc18.vhd
used by /tmp/build_html/vhdl/tech/tech_atc18.vhd
used by /tmp/build_html/vhdl/tech/tech_atc18.vhd
used by /tmp/build_html/vhdl/tech/tech_atc18.vhd
used by /tmp/build_html/vhdl/tech/tech_atc18.vhd
used by /tmp/build_html/vhdl/tech/tech_atc35.vhd
used by /tmp/build_html/vhdl/tech/tech_atc35.vhd
used by /tmp/build_html/vhdl/tech/tech_atc35.vhd
used by /tmp/build_html/vhdl/tech/tech_fs90.vhd
used by /tmp/build_html/vhdl/tech/tech_fs90.vhd
used by /tmp/build_html/vhdl/tech/tech_fs90.vhd
used by /tmp/build_html/vhdl/tech/tech_umc18.vhd
used by /tmp/build_html/vhdl/tech/tech_umc18.vhd
used by /tmp/build_html/vhdl/tech/tech_virtex.vhd
used by /tmp/build_html/vhdl/tech/tech_virtex.vhd
used by /tmp/build_html/vhdl/tech/tech_virtex.vhd
used by /tmp/build_html/vhdl/tech/tech_virtex.vhd
used by /tmp/build_html/vhdl/tech/tech_virtex.vhd
used by /tmp/build_html/vhdl/tech/tech_virtex2.vhd
used by /tmp/build_html/vhdl/tech/tech_virtex2.vhd
used by /tmp/build_html/vhdl/tech/tech_virtex2.vhd
used by /tmp/build_html/vhdl/tech/tech_virtex2.vhd
used by /tmp/build_html/vhdl/tech/tech_virtex2.vhd
used by /tmp/build_html/vhdl/tech/tech_tsmc25.vhd
used by /tmp/build_html/vhdl/tech/tech_tsmc25.vhd
used by /tmp/build_html/vhdl/tech/tech_tsmc25.vhd
used by /tmp/build_html/vhdl/tech/tech_tsmc25.vhd
used by /tmp/build_html/vhdl/tech/tech_tsmc25.vhd
used by /tmp/build_html/vhdl/tech/tech_proasic.vhd
used by /tmp/build_html/vhdl/tech/tech_proasic.vhd
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -