⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 scanner_main.vhd

📁 line CCD sensor controller for ID card scanner
💻 VHD
字号:
------------------------------------------------------------------------------
-- Project 		: Video Capture Control
-- Date			: 2005. 3. 23.
-- Version		: 0.99
-- Programmer	: Byungchan Son
-- Function		: 傈眉 葛碘 烹钦, 府悸 掉饭捞 力绢
-- Language		: VHDL
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;

entity scanner_main is
	port(
		------------------------------------------------------------
		-- 努钒 脚龋
		------------------------------------------------------------
		clock : in std_logic;
		reset_n : in std_logic;
		------------------------------------------------------------
		-- 矫府倔 烹脚 脚龋
		------------------------------------------------------------
		serial_tx : out std_logic;
		serial_rx : in std_logic;
		------------------------------------------------------------
		-- 惑怕 钎矫侩 LED 脚龋
		------------------------------------------------------------
--		fpga_ok : out std_logic;
		memory_led : out std_logic;
		buzz_led : out std_logic;
		end_led : out std_logic;
		working_led : out std_logic;
		ready_led : out std_logic;
		------------------------------------------------------------
		-- CIS
		------------------------------------------------------------
		-- LED 备悼
		tr : out std_logic;
		tg : out std_logic;
		tb : out std_logic;
		br : out std_logic;
		bg : out std_logic;
		bb : out std_logic;
		-- 备悼 努钒
		top_cis_tr : out std_logic;
		top_cis_m : out std_logic;
		top_cis_rs : out std_logic;
		bot_cis_tr : out std_logic;
		bot_cis_m : out std_logic;
		bot_cis_rs : out std_logic;
		------------------------------------------------------------
		-- AD 函拳扁
		------------------------------------------------------------
		-- 困搁 备悼脚龋 棺 单捞磐
		top_adc : in std_logic_vector(7 downto 0);
		top_cds_clk1 : out std_logic;
		top_cds_clk2 : out std_logic;
		top_adc_clk : out std_logic;
		-- 关搁 备悼脚龋 棺 单捞磐
		bot_adc : in std_logic_vector(7 downto 0);
		bot_cds_clk1 : out std_logic;
		bot_cds_clk2 : out std_logic;
		bot_adc_clk : out std_logic;
		-- 汲沥 脚龋
		t_sload : out std_logic;
		t_sclk : out std_logic;
		t_sdt : out std_logic;
		b_sload : out std_logic;
		b_sclk : out std_logic;
		b_sdt : out std_logic;
		------------------------------------------------------------
		-- USB 脚龋
		------------------------------------------------------------
		usb_rst : out std_logic;
		------------------------------------------------------------
		-- ROM 脚龋
		------------------------------------------------------------
		rom_cs	: out std_logic;
		rom_so	: in std_logic;
		rom_si	: out std_logic;
		rom_sck	: out std_logic;
		rom_wp	: out std_logic;
		rom_hold : out std_logic;
		------------------------------------------------------------
		-- 矫氰侩 脚龋 窜磊
		------------------------------------------------------------
		test : out std_logic
		);
end scanner_main;

architecture scanner_main_a of scanner_main is
------------------------------------------------------------
-- 郴何 脚龋
------------------------------------------------------------
signal reset : std_logic;
signal cds_clk1	: std_logic;
signal cds_clk2	: std_logic;
signal adc_clk	: std_logic;
signal led_r	: std_logic;
signal led_g	: std_logic;
signal led_b	: std_logic;
signal cis_reset : std_logic;
signal m		: std_logic;
signal rs		: std_logic;
signal adc_reset : std_logic;
signal r_clk	: std_logic;
signal g_clk	: std_logic;
signal b_clk	: std_logic;
signal s_clk	: std_logic;
signal top_rgb : std_logic_vector(7 downto 0);
signal bot_rgb : std_logic_vector(7 downto 0);
signal tx_data	: std_logic_vector(7 downto 0);
signal tx_req	: std_logic;
signal tx_ok	: std_logic;
signal temp_remocon_data	: std_logic_vector(7 downto 0);
------------------------------------------------------------
component ad_cis
	port(
		------------------------------------------------------------
		-- 矫胶袍 脚龋
		------------------------------------------------------------
		clock	: in std_logic;
		reset	: in std_logic;
		------------------------------------------------------------
		-- AD 函券扁(寇何)
		------------------------------------------------------------
		-- ADC clock
		cds_clk1	: out std_logic;
		cds_clk2	: out std_logic;
		adc_clk	: out std_logic;
		-- AD 单捞磐
		top_adc	: in std_logic_vector(7 downto 0);
		bot_adc  : in std_logic_vector(7 downto 0);
		------------------------------------------------------------
		-- LED 备悼(寇何)
		------------------------------------------------------------
		led_r	: out std_logic;
		led_g	: out std_logic;
		led_b	: out std_logic;
		------------------------------------------------------------
		-- CIS 备悼(寇何)
		------------------------------------------------------------
	   	cis_reset	: out std_logic;
		m		: out std_logic;
		rs		: out std_logic;
		------------------------------------------------------------
		-- 矫胶袍 力绢(command)
		------------------------------------------------------------
		-- ADC 备悼 胶鸥飘
		adc_reset : in std_logic;
		-- 傈价 夸没 努钒
		r_clk	: out std_logic;
		g_clk	: out std_logic;
		b_clk	: out std_logic;
		-- 拉搁 单捞磐
		top_rgb	: out std_logic_vector(7 downto 0);
		-- 关搁 单捞磐
		bot_rgb	: out std_logic_vector(7 downto 0)
		);
end component;
component command
	port(
		-- 矫胶袍 脚龋
		clock		: in std_logic;
		reset	: in std_logic;
		---  serial data in
		rx_command	: in std_logic_vector(7 downto 0);
		s_clk	: in std_logic;
		r_clk : in std_logic;
		g_clk : in std_logic;
		b_clk : in std_logic;
   		adc_reset   : out std_logic;
		top_img	 : in std_logic_vector(7 downto 0);
		bot_img  : in std_logic_vector(7 downto 0);
		tx_data	: out std_logic_vector(7 downto 0);
		tx_req	: out std_logic;
		tx_ok	: in std_logic
		);
end component;
component sys_con
	port(
		clock		: in std_logic;
		reset		: in std_logic;
		tx_data		: in std_logic_vector(7 downto 0);
		tx_ok        : out std_logic;
		tx_req		: in std_logic;
		temp_remocon_data	: out std_logic_vector(7 downto 0);
		s_clk		: out std_logic;
		serial_tx		: out std_logic;
		serial_rx		: in std_logic
	);
end component;
--------------------------------------------------------------------
-- 橇肺技辑 矫累
--------------------------------------------------------------------
begin
	m1 : ad_cis
	port map(
		------------------------------------------------------------
		-- 矫胶袍 脚龋
		------------------------------------------------------------
		clock => clock,
		reset => reset,
		------------------------------------------------------------
		-- AD 函券扁(寇何)
		------------------------------------------------------------
		-- ADC clock
		cds_clk1 => cds_clk1,
		cds_clk2 => cds_clk2,
		adc_clk => adc_clk,
		-- AD 单捞磐
		top_adc => top_adc,
		bot_adc => bot_adc,
		------------------------------------------------------------
		-- LED 备悼(寇何)
		------------------------------------------------------------
		led_r => led_r,
		led_g => led_g,
		led_b => led_b,
		------------------------------------------------------------
		-- CIS 备悼(寇何)
		------------------------------------------------------------
	   	cis_reset => cis_reset,
		m => m,
		rs => rs,
		------------------------------------------------------------
		-- 矫胶袍 力绢(command)
		------------------------------------------------------------
		-- ADC 备悼 胶鸥飘
		adc_reset => adc_reset,
		-- 傈价 夸没 努钒
		r_clk => r_clk,
		g_clk => g_clk,
		b_clk => b_clk,
		-- 拉搁 单捞磐
		top_rgb => top_rgb,
		-- 关搁 单捞磐
		bot_rgb => bot_rgb
		);
	m2 : command
	port map(
		-- 矫胶袍 脚龋
		clock => clock,
		reset => reset,
		---  serial data in
		rx_command => temp_remocon_data,
		s_clk => s_clk,
		r_clk => r_clk,
		g_clk => g_clk,
		b_clk => b_clk,
   		adc_reset => adc_reset,
		top_img => top_rgb,
		bot_img => bot_rgb,
		tx_data => tx_data,
		tx_req => tx_req,
		tx_ok => tx_ok
		);
	m3 : sys_con
	port map(
		------------------------------------------------------------
		-- 矫胶袍 脚龋
		------------------------------------------------------------
		clock => clock,
		reset => reset,
		tx_data => tx_data,
		tx_ok => tx_ok,
		tx_req => tx_req,
		temp_remocon_data => temp_remocon_data,
		s_clk => s_clk,
		serial_tx => serial_tx,
		serial_rx => serial_rx
		);
	------------------------------------------------------------
	-- 楷搬 脚龋
	------------------------------------------------------------
	-- reset
	reset <= not reset_n;
	-- LED
	tr <= led_r;
	tg <= led_g;
	tb <= led_b;
	br <= led_r;
	bg <= led_g;
	bb <= led_b;
	top_cis_tr <= not cis_reset;
	top_cis_m <= not m;
	top_cis_rs <= not rs;
	bot_cis_tr <= not cis_reset;
	bot_cis_m <= not m;
	bot_cis_rs <= not rs;
	-- AD 函拳扁
	top_cds_clk1 <= cds_clk1;
	top_cds_clk2 <= cds_clk2;
	top_adc_clk <= adc_clk;
	bot_cds_clk1 <= cds_clk1;
	bot_cds_clk2 <= cds_clk2;
	bot_adc_clk <= adc_clk;
	-- 汲沥 脚龋
	t_sload <= '0';
	t_sclk <= '0';
	t_sdt <= '0';
	b_sload <= '0';
	b_sclk <= '0';
	b_sdt <= '0';
	-- USB 脚龋
	usb_rst <= '1';
	rom_cs <= '0';
	rom_si <= '0';
	rom_sck <= '0';
	rom_wp <= '0';
	rom_hold <= '0';
end scanner_main_a;



⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -