⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 choose.vhd

📁 VGA彩色信号控制器设计:用VHDL语言编写程序
💻 VHD
字号:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity choose is
 port(s : in std_logic_vector(1 downto 0);
      a,b,c : in std_logic_vector(2 downto 0);
      qout : out std_logic_vector(2 downto 0));
end entity choose;
architecture abc of choose is
begin
process(s,a,b,c)
variable q1 : std_logic_vector(2 downto 0);
begin
qout<=q1;
case s is
when "00"=>q1:=a;
when "01"=>q1:=b;
when "10"=>q1:=c;
--when "11"=>q1:=d;
when others=>null;
end case;
end process;
end architecture abc;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -