📄 lift.txt
字号:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity projekt is
Port ( Clk : in STD_LOGIC;
We : in STD_LOGIC_VECTOR (7 downto 0);
muxwy : out std_logic_vector(3 downto 0);
Led : out STD_LOGIC_VECTOR (3 downto 0);
ledd : out std_logic_vector(7 downto 0));
end projekt;
architecture Behavioral of projekt is
function wybor(kod : std_logic_vector(7 downto 0)) return std_logic_vector is
variable pietro:std_logic_vector(3 downto 0); --zamienia kod wej渃ia We na
liczb
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -